I: pbuilder: network access will be disabled during build I: Current time: Sun Feb 16 03:55:27 +14 2025 I: pbuilder-time-stamp: 1739627727 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [ghdl_2.0.0+dfsg-6.2.dsc] I: copying [./ghdl_2.0.0+dfsg.orig.tar.xz] I: copying [./ghdl_2.0.0+dfsg-6.2.debian.tar.xz] I: Extracting source gpgv: Signature made Sat Feb 11 09:13:10 2023 gpgv: using RSA key 3AFA757FAC6EA11D2FF45DF088D24287A2D898B1 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./ghdl_2.0.0+dfsg-6.2.dsc: no acceptable signature found dpkg-source: info: extracting ghdl in ghdl-2.0.0+dfsg dpkg-source: info: unpacking ghdl_2.0.0+dfsg.orig.tar.xz dpkg-source: info: unpacking ghdl_2.0.0+dfsg-6.2.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying llvm-14.0.patch dpkg-source: info: applying gcc-12.patch dpkg-source: info: applying skip-unsupported-tests dpkg-source: info: applying parallelize-testsuite dpkg-source: info: applying gcc-12-fix-move-pragma-suppress dpkg-source: info: applying gcc-12-more-fix-move-pragma-suppress dpkg-source: info: applying testsuite-show-diff-output dpkg-source: info: applying testsuite-pass-parameters dpkg-source: info: applying psl-disable-incorrect-optimization dpkg-source: info: applying gcc-distro-specs dpkg-source: info: applying allow-non-linux-mcode dpkg-source: info: applying sparc-memmodel-include I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D01_modify_environment starting debug: Running on ionos15-amd64. I: Changing host+domainname to test build reproducibility I: Adding a custom variable just for the fun of it... I: Changing /bin/sh to bash '/bin/sh' -> '/bin/bash' lrwxrwxrwx 1 root root 9 Feb 15 13:55 /bin/sh -> /bin/bash I: Setting pbuilder2's login shell to /bin/bash I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D01_modify_environment finished I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D02_print_environment starting I: set BASH=/bin/sh BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath BASH_ALIASES=() BASH_ARGC=() BASH_ARGV=() BASH_CMDS=() BASH_LINENO=([0]="12" [1]="0") BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") BASH_VERSINFO=([0]="5" [1]="2" [2]="15" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") BASH_VERSION='5.2.15(1)-release' BUILDDIR=/build/reproducible-path BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' BUILDUSERNAME=pbuilder2 BUILD_ARCH=amd64 DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16 ' DIRSTACK=() DISTRIBUTION=bookworm EUID=0 FUNCNAME=([0]="Echo" [1]="main") GROUPS=() HOME=/root HOSTNAME=i-capture-the-hostname HOSTTYPE=x86_64 HOST_ARCH=amd64 IFS=' ' INVOCATION_ID=e05cdf9c36744695aa1348b6d0a5e7cc LANG=C LANGUAGE=et_EE:et LC_ALL=C MACHTYPE=x86_64-pc-linux-gnu MAIL=/var/mail/root OPTERR=1 OPTIND=1 OSTYPE=linux-gnu PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path PBCURRENTCOMMANDLINEOPERATION=build PBUILDER_OPERATION=build PBUILDER_PKGDATADIR=/usr/share/pbuilder PBUILDER_PKGLIBDIR=/usr/lib/pbuilder PBUILDER_SYSCONFDIR=/etc PIPESTATUS=([0]="0") POSIXLY_CORRECT=y PPID=2113136 PS4='+ ' PWD=/ SHELL=/bin/bash SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix SHLVL=3 SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/pbuilderrc_mQ5q --distribution bookworm --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/b2 --logfile b2/build.log ghdl_2.0.0+dfsg-6.2.dsc' SUDO_GID=111 SUDO_UID=106 SUDO_USER=jenkins TERM=unknown TZ=/usr/share/zoneinfo/Etc/GMT-14 UID=0 USER=root _='I: set' http_proxy=http://85.184.249.68:3128 I: uname -a Linux i-capture-the-hostname 6.5.0-0.deb12.4-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.5.10-1~bpo12+1 (2023-11-23) x86_64 GNU/Linux I: ls -l /bin total 5632 -rwxr-xr-x 1 root root 1265648 Apr 23 2023 bash -rwxr-xr-x 3 root root 39224 Sep 19 2022 bunzip2 -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 19 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 19 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 19 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 19 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 19 2022 bzgrep -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzip2 -rwxr-xr-x 1 root root 14568 Sep 19 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 19 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 19 2022 bzmore -rwxr-xr-x 1 root root 44016 Sep 20 2022 cat -rwxr-xr-x 1 root root 68656 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 64496 Sep 20 2022 chmod -rwxr-xr-x 1 root root 72752 Sep 20 2022 chown -rwxr-xr-x 1 root root 151152 Sep 20 2022 cp -rwxr-xr-x 1 root root 125640 Jan 5 2023 dash -rwxr-xr-x 1 root root 121904 Sep 20 2022 date -rwxr-xr-x 1 root root 89240 Sep 20 2022 dd -rwxr-xr-x 1 root root 102200 Sep 20 2022 df -rwxr-xr-x 1 root root 151344 Sep 20 2022 dir -rwxr-xr-x 1 root root 88656 Mar 23 2023 dmesg lrwxrwxrwx 1 root root 8 Dec 19 2022 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 2022 domainname -> hostname -rwxr-xr-x 1 root root 43856 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 2023 egrep -rwxr-xr-x 1 root root 35664 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 2023 fgrep -rwxr-xr-x 1 root root 85600 Mar 23 2023 findmnt -rwsr-xr-x 1 root root 35128 Mar 23 2023 fusermount -rwxr-xr-x 1 root root 203152 Jan 24 2023 grep -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe -rwxr-xr-x 1 root root 98136 Apr 10 2022 gzip -rwxr-xr-x 1 root root 22680 Dec 19 2022 hostname -rwxr-xr-x 1 root root 72824 Sep 20 2022 ln -rwxr-xr-x 1 root root 53024 Mar 23 2023 login -rwxr-xr-x 1 root root 151344 Sep 20 2022 ls -rwxr-xr-x 1 root root 207168 Mar 23 2023 lsblk -rwxr-xr-x 1 root root 97552 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 72912 Sep 20 2022 mknod -rwxr-xr-x 1 root root 43952 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 59712 Mar 23 2023 more -rwsr-xr-x 1 root root 59704 Mar 23 2023 mount -rwxr-xr-x 1 root root 18744 Mar 23 2023 mountpoint -rwxr-xr-x 1 root root 142968 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 2022 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 3 2023 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 43952 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Apr 23 2023 rbash -> bash -rwxr-xr-x 1 root root 52112 Sep 20 2022 readlink -rwxr-xr-x 1 root root 72752 Sep 20 2022 rm -rwxr-xr-x 1 root root 56240 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 27560 Jul 28 2023 run-parts -rwxr-xr-x 1 root root 126424 Jan 5 2023 sed lrwxrwxrwx 1 root root 9 Feb 15 13:55 sh -> /bin/bash -rwxr-xr-x 1 root root 43888 Sep 20 2022 sleep -rwxr-xr-x 1 root root 85008 Sep 20 2022 stty -rwsr-xr-x 1 root root 72000 Mar 23 2023 su -rwxr-xr-x 1 root root 39824 Sep 20 2022 sync -rwxr-xr-x 1 root root 531984 Apr 6 2023 tar -rwxr-xr-x 1 root root 14520 Jul 28 2023 tempfile -rwxr-xr-x 1 root root 109616 Sep 20 2022 touch -rwxr-xr-x 1 root root 35664 Sep 20 2022 true -rwxr-xr-x 1 root root 14568 Mar 23 2023 ulockmgr_server -rwsr-xr-x 1 root root 35128 Mar 23 2023 umount -rwxr-xr-x 1 root root 43888 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress -rwxr-xr-x 1 root root 151344 Sep 20 2022 vdir -rwxr-xr-x 1 root root 72024 Mar 23 2023 wdctl lrwxrwxrwx 1 root root 8 Dec 19 2022 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 10 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 10 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-12, gcc-12-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev (>= 1:3.5), llvm-dev (<< 1:15~), clang (>= 1:3.5), zlib1g-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 18148 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on dh-ada-library (>= 8.1); however: Package dh-ada-library is not installed. pbuilder-satisfydepends-dummy depends on gnat-12; however: Package gnat-12 is not installed. pbuilder-satisfydepends-dummy depends on gcc-12-source; however: Package gcc-12-source is not installed. pbuilder-satisfydepends-dummy depends on libisl-dev (>= 0.14); however: Package libisl-dev is not installed. pbuilder-satisfydepends-dummy depends on libmpc-dev (>= 1.0); however: Package libmpc-dev is not installed. pbuilder-satisfydepends-dummy depends on libmpfr-dev (>= 3.0.0-9~); however: Package libmpfr-dev is not installed. pbuilder-satisfydepends-dummy depends on libgmp-dev (>= 2:5.0.1~); however: Package libgmp-dev is not installed. pbuilder-satisfydepends-dummy depends on texinfo; however: Package texinfo is not installed. pbuilder-satisfydepends-dummy depends on llvm-dev (>= 1:3.5); however: Package llvm-dev is not installed. pbuilder-satisfydepends-dummy depends on llvm-dev (<< 1:15~); however: Package llvm-dev is not installed. pbuilder-satisfydepends-dummy depends on clang (>= 1:3.5); however: Package clang is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} autoconf2.69{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} clang{a} clang-14{a} debhelper{a} dh-ada-library{a} dh-autoreconf{a} dh-strip-nondeterminism{a} diffstat{a} dwz{a} ed{a} file{a} gawk{a} gcc-12-source{a} gettext{a} gettext-base{a} gnat-12{a} groff-base{a} icu-devtools{a} intltool-debian{a} libarchive-zip-perl{a} libbrotli1{a} libbsd0{a} libclang-common-14-dev{a} libclang-cpp14{a} libclang1-14{a} libcurl3-nss{a} libdebhelper-perl{a} libedit2{a} libelf1{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libgc1{a} libgmp-dev{a} libgmpxx4ldbl{a} libgnat-12{a} libicu-dev{a} libicu72{a} libisl-dev{a} libldap-2.5-0{a} libllvm14{a} libmagic-mgc{a} libmagic1{a} libmpc-dev{a} libmpfr-dev{a} libncurses-dev{a} libncurses6{a} libnghttp2-14{a} libnspr4{a} libnss3{a} libobjc-12-dev{a} libobjc4{a} libpfm4{a} libpipeline1{a} libpsl5{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} libreadline8{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libsigsegv2{a} libssh2-1{a} libsub-override-perl{a} libtext-unidecode-perl{a} libtinfo-dev{a} libtool{a} libuchardet0{a} libxml-libxml-perl{a} libxml-namespacesupport-perl{a} libxml-sax-base-perl{a} libxml-sax-perl{a} libxml2{a} libxml2-dev{a} libyaml-0-2{a} libz3-4{a} libz3-dev{a} llvm{a} llvm-14{a} llvm-14-dev{a} llvm-14-linker-tools{a} llvm-14-runtime{a} llvm-14-tools{a} llvm-dev{a} llvm-runtime{a} lsb-release{a} m4{a} man-db{a} media-types{a} nss-plugin-pem{a} patchutils{a} po-debconf{a} python3{a} python3-minimal{a} python3-pkg-resources{a} python3-pygments{a} python3-yaml{a} python3.11{a} python3.11-minimal{a} quilt{a} readline-common{a} sensible-utils{a} sharutils{a} tex-common{a} texinfo{a} time{a} ucf{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: binfmt-support ca-certificates curl less libarchive-cpio-perl libclang-rt-14-dev libgpm2 libldap-common libltdl-dev libmail-sendmail-perl libsasl2-modules libwww-perl libxml-sax-expat-perl lynx publicsuffix systemd wget 0 packages upgraded, 114 newly installed, 0 to remove and 0 not upgraded. Need to get 247 MB of archives. After unpacking 991 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main amd64 readline-common all 8.2-1.3 [69.0 kB] Get: 2 http://deb.debian.org/debian bookworm/main amd64 libreadline8 amd64 8.2-1.3 [166 kB] Get: 3 http://deb.debian.org/debian bookworm/main amd64 libsigsegv2 amd64 2.14-1 [37.2 kB] Get: 4 http://deb.debian.org/debian bookworm/main amd64 gawk amd64 1:5.2.1-2 [673 kB] Get: 5 http://deb.debian.org/debian bookworm/main amd64 libpython3.11-minimal amd64 3.11.2-6 [813 kB] Get: 6 http://deb.debian.org/debian bookworm/main amd64 libexpat1 amd64 2.5.0-1 [99.3 kB] Get: 7 http://deb.debian.org/debian bookworm/main amd64 python3.11-minimal amd64 3.11.2-6 [2064 kB] Get: 8 http://deb.debian.org/debian bookworm/main amd64 python3-minimal amd64 3.11.2-1+b1 [26.3 kB] Get: 9 http://deb.debian.org/debian bookworm/main amd64 media-types all 10.0.0 [26.1 kB] Get: 10 http://deb.debian.org/debian bookworm/main amd64 libpython3.11-stdlib amd64 3.11.2-6 [1796 kB] Get: 11 http://deb.debian.org/debian bookworm/main amd64 python3.11 amd64 3.11.2-6 [572 kB] Get: 12 http://deb.debian.org/debian bookworm/main amd64 libpython3-stdlib amd64 3.11.2-1+b1 [9312 B] Get: 13 http://deb.debian.org/debian bookworm/main amd64 python3 amd64 3.11.2-1+b1 [26.3 kB] Get: 14 http://deb.debian.org/debian bookworm/main amd64 sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 15 http://deb.debian.org/debian bookworm/main amd64 libmagic-mgc amd64 1:5.44-3 [305 kB] Get: 16 http://deb.debian.org/debian bookworm/main amd64 libmagic1 amd64 1:5.44-3 [104 kB] Get: 17 http://deb.debian.org/debian bookworm/main amd64 file amd64 1:5.44-3 [42.5 kB] Get: 18 http://deb.debian.org/debian bookworm/main amd64 gettext-base amd64 0.21-12 [160 kB] Get: 19 http://deb.debian.org/debian bookworm/main amd64 libuchardet0 amd64 0.0.7-1 [67.8 kB] Get: 20 http://deb.debian.org/debian bookworm/main amd64 groff-base amd64 1.22.4-10 [916 kB] Get: 21 http://deb.debian.org/debian bookworm/main amd64 bsdextrautils amd64 2.38.1-5+b1 [86.6 kB] Get: 22 http://deb.debian.org/debian bookworm/main amd64 libpipeline1 amd64 1.5.7-1 [38.5 kB] Get: 23 http://deb.debian.org/debian bookworm/main amd64 man-db amd64 2.11.2-2 [1386 kB] Get: 24 http://deb.debian.org/debian bookworm/main amd64 ucf all 3.0043+nmu1 [55.2 kB] Get: 25 http://deb.debian.org/debian bookworm/main amd64 m4 amd64 1.4.19-3 [287 kB] Get: 26 http://deb.debian.org/debian bookworm/main amd64 autoconf all 2.71-3 [332 kB] Get: 27 http://deb.debian.org/debian bookworm/main amd64 autoconf2.69 all 2.69-3.1 [291 kB] Get: 28 http://deb.debian.org/debian bookworm/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 29 http://deb.debian.org/debian bookworm/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 30 http://deb.debian.org/debian bookworm/main amd64 autopoint all 0.21-12 [495 kB] Get: 31 http://deb.debian.org/debian bookworm/main amd64 libbsd0 amd64 0.11.7-2 [117 kB] Get: 32 http://deb.debian.org/debian bookworm/main amd64 libedit2 amd64 3.1-20221030-2 [93.0 kB] Get: 33 http://deb.debian.org/debian bookworm/main amd64 libicu72 amd64 72.1-3 [9376 kB] Get: 34 http://deb.debian.org/debian bookworm/main amd64 libxml2 amd64 2.9.14+dfsg-1.3~deb12u1 [687 kB] Get: 35 http://deb.debian.org/debian bookworm/main amd64 libz3-4 amd64 4.8.12-3.1 [7216 kB] Get: 36 http://deb.debian.org/debian bookworm/main amd64 libllvm14 amd64 1:14.0.6-12 [21.8 MB] Get: 37 http://deb.debian.org/debian bookworm/main amd64 libclang-cpp14 amd64 1:14.0.6-12 [11.1 MB] Get: 38 http://deb.debian.org/debian bookworm/main amd64 libgc1 amd64 1:8.2.2-3 [245 kB] Get: 39 http://deb.debian.org/debian bookworm/main amd64 libobjc4 amd64 12.2.0-14 [43.1 kB] Get: 40 http://deb.debian.org/debian bookworm/main amd64 libobjc-12-dev amd64 12.2.0-14 [170 kB] Get: 41 http://deb.debian.org/debian bookworm/main amd64 libclang-common-14-dev all 1:14.0.6-12 [890 kB] Get: 42 http://deb.debian.org/debian bookworm/main amd64 llvm-14-linker-tools amd64 1:14.0.6-12 [1288 kB] Get: 43 http://deb.debian.org/debian bookworm/main amd64 libclang1-14 amd64 1:14.0.6-12 [6157 kB] Get: 44 http://deb.debian.org/debian bookworm/main amd64 clang-14 amd64 1:14.0.6-12 [102 kB] Get: 45 http://deb.debian.org/debian bookworm/main amd64 clang amd64 1:14.0-55.7~deb12u1 [5144 B] Get: 46 http://deb.debian.org/debian bookworm/main amd64 libdebhelper-perl all 13.11.4 [81.2 kB] Get: 47 http://deb.debian.org/debian bookworm/main amd64 libtool all 2.4.7-5 [517 kB] Get: 48 http://deb.debian.org/debian bookworm/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 49 http://deb.debian.org/debian bookworm/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 50 http://deb.debian.org/debian bookworm/main amd64 libsub-override-perl all 0.09-4 [9304 B] Get: 51 http://deb.debian.org/debian bookworm/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 52 http://deb.debian.org/debian bookworm/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 53 http://deb.debian.org/debian bookworm/main amd64 libelf1 amd64 0.188-2.1 [174 kB] Get: 54 http://deb.debian.org/debian bookworm/main amd64 dwz amd64 0.15-1 [109 kB] Get: 55 http://deb.debian.org/debian bookworm/main amd64 gettext amd64 0.21-12 [1300 kB] Get: 56 http://deb.debian.org/debian bookworm/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 57 http://deb.debian.org/debian bookworm/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 58 http://deb.debian.org/debian bookworm/main amd64 debhelper all 13.11.4 [942 kB] Get: 59 http://deb.debian.org/debian bookworm/main amd64 libgnat-12 amd64 12.2.0-14 [1266 kB] Get: 60 http://deb.debian.org/debian bookworm/main amd64 gnat-12 amd64 12.2.0-14 [18.2 MB] Get: 61 http://deb.debian.org/debian bookworm/main amd64 dh-ada-library all 8.6 [14.5 kB] Get: 62 http://deb.debian.org/debian bookworm/main amd64 diffstat amd64 1.65-1 [33.3 kB] Get: 63 http://deb.debian.org/debian bookworm/main amd64 ed amd64 1.19-1 [58.1 kB] Get: 64 http://deb.debian.org/debian bookworm/main amd64 quilt all 0.67+really0.66-1 [303 kB] Get: 65 http://deb.debian.org/debian bookworm/main amd64 patchutils amd64 0.4.2-1 [77.5 kB] Get: 66 http://deb.debian.org/debian bookworm/main amd64 sharutils amd64 1:4.15.2-9 [262 kB] Get: 67 http://deb.debian.org/debian bookworm/main amd64 lsb-release all 12.0-1 [6416 B] Get: 68 http://deb.debian.org/debian bookworm/main amd64 time amd64 1.9-0.2 [50.8 kB] Get: 69 http://deb.debian.org/debian bookworm/main amd64 gcc-12-source all 12.2.0-14 [83.4 MB] Get: 70 http://deb.debian.org/debian bookworm/main amd64 icu-devtools amd64 72.1-3 [206 kB] Get: 71 http://deb.debian.org/debian bookworm/main amd64 libbrotli1 amd64 1.0.9-2+b6 [275 kB] Get: 72 http://deb.debian.org/debian bookworm/main amd64 libsasl2-modules-db amd64 2.1.28+dfsg-10 [20.3 kB] Get: 73 http://deb.debian.org/debian bookworm/main amd64 libsasl2-2 amd64 2.1.28+dfsg-10 [59.7 kB] Get: 74 http://deb.debian.org/debian bookworm/main amd64 libldap-2.5-0 amd64 2.5.13+dfsg-5 [183 kB] Get: 75 http://deb.debian.org/debian bookworm/main amd64 libnghttp2-14 amd64 1.52.0-1+deb12u1 [72.4 kB] Get: 76 http://deb.debian.org/debian bookworm/main amd64 libnspr4 amd64 2:4.35-1 [113 kB] Get: 77 http://deb.debian.org/debian bookworm/main amd64 libnss3 amd64 2:3.87.1-1 [1331 kB] Get: 78 http://deb.debian.org/debian bookworm/main amd64 libpsl5 amd64 0.21.2-1 [58.7 kB] Get: 79 http://deb.debian.org/debian bookworm/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-2+b2 [60.8 kB] Get: 80 http://deb.debian.org/debian bookworm/main amd64 libssh2-1 amd64 1.10.0-3+b1 [179 kB] Get: 81 http://deb.debian.org/debian bookworm/main amd64 nss-plugin-pem amd64 1.0.8+1-1 [54.6 kB] Get: 82 http://deb.debian.org/debian bookworm/main amd64 libcurl3-nss amd64 7.88.1-10+deb12u4 [394 kB] Get: 83 http://deb.debian.org/debian bookworm/main amd64 libffi-dev amd64 3.4.4-1 [59.4 kB] Get: 84 http://deb.debian.org/debian bookworm/main amd64 libgmpxx4ldbl amd64 2:6.2.1+dfsg1-1.1 [338 kB] Get: 85 http://deb.debian.org/debian bookworm/main amd64 libgmp-dev amd64 2:6.2.1+dfsg1-1.1 [641 kB] Get: 86 http://deb.debian.org/debian bookworm/main amd64 libicu-dev amd64 72.1-3 [10.3 MB] Get: 87 http://deb.debian.org/debian bookworm/main amd64 libisl-dev amd64 0.25-1 [963 kB] Get: 88 http://deb.debian.org/debian bookworm/main amd64 libmpfr-dev amd64 4.2.0-1 [259 kB] Get: 89 http://deb.debian.org/debian bookworm/main amd64 libmpc-dev amd64 1.3.1-1 [63.9 kB] Get: 90 http://deb.debian.org/debian bookworm/main amd64 libncurses6 amd64 6.4-4 [103 kB] Get: 91 http://deb.debian.org/debian bookworm/main amd64 libncurses-dev amd64 6.4-4 [349 kB] Get: 92 http://deb.debian.org/debian bookworm/main amd64 libpfm4 amd64 4.13.0-1 [294 kB] Get: 93 http://deb.debian.org/debian bookworm/main amd64 libtext-unidecode-perl all 1.30-3 [101 kB] Get: 94 http://deb.debian.org/debian bookworm/main amd64 libtinfo-dev amd64 6.4-4 [924 B] Get: 95 http://deb.debian.org/debian bookworm/main amd64 libxml-namespacesupport-perl all 1.12-2 [15.1 kB] Get: 96 http://deb.debian.org/debian bookworm/main amd64 libxml-sax-base-perl all 1.09-3 [20.6 kB] Get: 97 http://deb.debian.org/debian bookworm/main amd64 libxml-sax-perl all 1.02+dfsg-3 [59.4 kB] Get: 98 http://deb.debian.org/debian bookworm/main amd64 libxml-libxml-perl amd64 2.0207+dfsg+really+2.0134-1+b1 [322 kB] Get: 99 http://deb.debian.org/debian bookworm/main amd64 libxml2-dev amd64 2.9.14+dfsg-1.3~deb12u1 [782 kB] Get: 100 http://deb.debian.org/debian bookworm/main amd64 libyaml-0-2 amd64 0.2.5-1 [53.6 kB] Get: 101 http://deb.debian.org/debian bookworm/main amd64 libz3-dev amd64 4.8.12-3.1 [90.6 kB] Get: 102 http://deb.debian.org/debian bookworm/main amd64 llvm-14-runtime amd64 1:14.0.6-12 [477 kB] Get: 103 http://deb.debian.org/debian bookworm/main amd64 llvm-runtime amd64 1:14.0-55.7~deb12u1 [4812 B] Get: 104 http://deb.debian.org/debian bookworm/main amd64 llvm-14 amd64 1:14.0.6-12 [11.7 MB] Get: 105 http://deb.debian.org/debian bookworm/main amd64 llvm amd64 1:14.0-55.7~deb12u1 [7212 B] Get: 106 http://deb.debian.org/debian bookworm/main amd64 python3-pkg-resources all 66.1.1-1 [296 kB] Get: 107 http://deb.debian.org/debian bookworm/main amd64 python3-pygments all 2.14.0+dfsg-1 [783 kB] Get: 108 http://deb.debian.org/debian bookworm/main amd64 python3-yaml amd64 6.0-3+b2 [119 kB] Get: 109 http://deb.debian.org/debian bookworm/main amd64 llvm-14-tools amd64 1:14.0.6-12 [405 kB] Get: 110 http://deb.debian.org/debian bookworm/main amd64 llvm-14-dev amd64 1:14.0.6-12 [33.9 MB] Get: 111 http://deb.debian.org/debian bookworm/main amd64 llvm-dev amd64 1:14.0-55.7~deb12u1 [5064 B] Get: 112 http://deb.debian.org/debian bookworm/main amd64 tex-common all 6.18 [32.5 kB] Get: 113 http://deb.debian.org/debian bookworm/main amd64 texinfo amd64 6.8-6+b1 [1816 kB] Get: 114 http://deb.debian.org/debian bookworm/main amd64 zlib1g-dev amd64 1:1.2.13.dfsg-1 [916 kB] Fetched 247 MB in 12s (20.2 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package readline-common. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18148 files and directories currently installed.) Preparing to unpack .../readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:amd64. Preparing to unpack .../libreadline8_8.2-1.3_amd64.deb ... Unpacking libreadline8:amd64 (8.2-1.3) ... Selecting previously unselected package libsigsegv2:amd64. Preparing to unpack .../libsigsegv2_2.14-1_amd64.deb ... Unpacking libsigsegv2:amd64 (2.14-1) ... Setting up readline-common (8.2-1.3) ... Setting up libreadline8:amd64 (8.2-1.3) ... Setting up libsigsegv2:amd64 (2.14-1) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18181 files and directories currently installed.) Preparing to unpack .../gawk_1%3a5.2.1-2_amd64.deb ... Unpacking gawk (1:5.2.1-2) ... Selecting previously unselected package libpython3.11-minimal:amd64. Preparing to unpack .../libpython3.11-minimal_3.11.2-6_amd64.deb ... Unpacking libpython3.11-minimal:amd64 (3.11.2-6) ... Selecting previously unselected package libexpat1:amd64. Preparing to unpack .../libexpat1_2.5.0-1_amd64.deb ... Unpacking libexpat1:amd64 (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.2-6_amd64.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:amd64 (3.11.2-6) ... Setting up libexpat1:amd64 (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18685 files and directories currently installed.) Preparing to unpack .../python3-minimal_3.11.2-1+b1_amd64.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package libpython3.11-stdlib:amd64. Preparing to unpack .../libpython3.11-stdlib_3.11.2-6_amd64.deb ... Unpacking libpython3.11-stdlib:amd64 (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../python3.11_3.11.2-6_amd64.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:amd64. Preparing to unpack .../libpython3-stdlib_3.11.2-1+b1_amd64.deb ... Unpacking libpython3-stdlib:amd64 (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19095 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_amd64.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../001-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../002-libmagic-mgc_1%3a5.44-3_amd64.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:amd64. Preparing to unpack .../003-libmagic1_1%3a5.44-3_amd64.deb ... Unpacking libmagic1:amd64 (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../004-file_1%3a5.44-3_amd64.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../005-gettext-base_0.21-12_amd64.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../006-libuchardet0_0.0.7-1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../007-groff-base_1.22.4-10_amd64.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../008-bsdextrautils_2.38.1-5+b1_amd64.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../009-libpipeline1_1.5.7-1_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../010-man-db_2.11.2-2_amd64.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package ucf. Preparing to unpack .../011-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package m4. Preparing to unpack .../012-m4_1.4.19-3_amd64.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package autoconf. Preparing to unpack .../013-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autoconf2.69. Preparing to unpack .../014-autoconf2.69_2.69-3.1_all.deb ... Unpacking autoconf2.69 (2.69-3.1) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../015-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../016-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../017-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package libbsd0:amd64. Preparing to unpack .../018-libbsd0_0.11.7-2_amd64.deb ... Unpacking libbsd0:amd64 (0.11.7-2) ... Selecting previously unselected package libedit2:amd64. Preparing to unpack .../019-libedit2_3.1-20221030-2_amd64.deb ... Unpacking libedit2:amd64 (3.1-20221030-2) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../020-libicu72_72.1-3_amd64.deb ... Unpacking libicu72:amd64 (72.1-3) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../021-libxml2_2.9.14+dfsg-1.3~deb12u1_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Selecting previously unselected package libz3-4:amd64. Preparing to unpack .../022-libz3-4_4.8.12-3.1_amd64.deb ... Unpacking libz3-4:amd64 (4.8.12-3.1) ... Selecting previously unselected package libllvm14:amd64. Preparing to unpack .../023-libllvm14_1%3a14.0.6-12_amd64.deb ... Unpacking libllvm14:amd64 (1:14.0.6-12) ... Selecting previously unselected package libclang-cpp14. Preparing to unpack .../024-libclang-cpp14_1%3a14.0.6-12_amd64.deb ... Unpacking libclang-cpp14 (1:14.0.6-12) ... Selecting previously unselected package libgc1:amd64. Preparing to unpack .../025-libgc1_1%3a8.2.2-3_amd64.deb ... Unpacking libgc1:amd64 (1:8.2.2-3) ... Selecting previously unselected package libobjc4:amd64. Preparing to unpack .../026-libobjc4_12.2.0-14_amd64.deb ... Unpacking libobjc4:amd64 (12.2.0-14) ... Selecting previously unselected package libobjc-12-dev:amd64. Preparing to unpack .../027-libobjc-12-dev_12.2.0-14_amd64.deb ... Unpacking libobjc-12-dev:amd64 (12.2.0-14) ... Selecting previously unselected package libclang-common-14-dev. Preparing to unpack .../028-libclang-common-14-dev_1%3a14.0.6-12_all.deb ... Unpacking libclang-common-14-dev (1:14.0.6-12) ... Selecting previously unselected package llvm-14-linker-tools. Preparing to unpack .../029-llvm-14-linker-tools_1%3a14.0.6-12_amd64.deb ... Unpacking llvm-14-linker-tools (1:14.0.6-12) ... Selecting previously unselected package libclang1-14. Preparing to unpack .../030-libclang1-14_1%3a14.0.6-12_amd64.deb ... Unpacking libclang1-14 (1:14.0.6-12) ... Selecting previously unselected package clang-14. Preparing to unpack .../031-clang-14_1%3a14.0.6-12_amd64.deb ... Unpacking clang-14 (1:14.0.6-12) ... Selecting previously unselected package clang. Preparing to unpack .../032-clang_1%3a14.0-55.7~deb12u1_amd64.deb ... Unpacking clang (1:14.0-55.7~deb12u1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../033-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../034-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../035-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../036-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../037-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../038-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../039-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:amd64. Preparing to unpack .../040-libelf1_0.188-2.1_amd64.deb ... Unpacking libelf1:amd64 (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../041-dwz_0.15-1_amd64.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package gettext. Preparing to unpack .../042-gettext_0.21-12_amd64.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../043-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../044-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../045-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package libgnat-12:amd64. Preparing to unpack .../046-libgnat-12_12.2.0-14_amd64.deb ... Unpacking libgnat-12:amd64 (12.2.0-14) ... Selecting previously unselected package gnat-12. Preparing to unpack .../047-gnat-12_12.2.0-14_amd64.deb ... Unpacking gnat-12 (12.2.0-14) ... Selecting previously unselected package dh-ada-library. Preparing to unpack .../048-dh-ada-library_8.6_all.deb ... Unpacking dh-ada-library (8.6) ... Selecting previously unselected package diffstat. Preparing to unpack .../049-diffstat_1.65-1_amd64.deb ... Unpacking diffstat (1.65-1) ... Selecting previously unselected package ed. Preparing to unpack .../050-ed_1.19-1_amd64.deb ... Unpacking ed (1.19-1) ... Selecting previously unselected package quilt. Preparing to unpack .../051-quilt_0.67+really0.66-1_all.deb ... Unpacking quilt (0.67+really0.66-1) ... Selecting previously unselected package patchutils. Preparing to unpack .../052-patchutils_0.4.2-1_amd64.deb ... Unpacking patchutils (0.4.2-1) ... Selecting previously unselected package sharutils. Preparing to unpack .../053-sharutils_1%3a4.15.2-9_amd64.deb ... Unpacking sharutils (1:4.15.2-9) ... Selecting previously unselected package lsb-release. Preparing to unpack .../054-lsb-release_12.0-1_all.deb ... Unpacking lsb-release (12.0-1) ... Selecting previously unselected package time. Preparing to unpack .../055-time_1.9-0.2_amd64.deb ... Unpacking time (1.9-0.2) ... Selecting previously unselected package gcc-12-source. Preparing to unpack .../056-gcc-12-source_12.2.0-14_all.deb ... Unpacking gcc-12-source (12.2.0-14) ... Selecting previously unselected package icu-devtools. Preparing to unpack .../057-icu-devtools_72.1-3_amd64.deb ... Unpacking icu-devtools (72.1-3) ... Selecting previously unselected package libbrotli1:amd64. Preparing to unpack .../058-libbrotli1_1.0.9-2+b6_amd64.deb ... Unpacking libbrotli1:amd64 (1.0.9-2+b6) ... Selecting previously unselected package libsasl2-modules-db:amd64. Preparing to unpack .../059-libsasl2-modules-db_2.1.28+dfsg-10_amd64.deb ... Unpacking libsasl2-modules-db:amd64 (2.1.28+dfsg-10) ... Selecting previously unselected package libsasl2-2:amd64. Preparing to unpack .../060-libsasl2-2_2.1.28+dfsg-10_amd64.deb ... Unpacking libsasl2-2:amd64 (2.1.28+dfsg-10) ... Selecting previously unselected package libldap-2.5-0:amd64. Preparing to unpack .../061-libldap-2.5-0_2.5.13+dfsg-5_amd64.deb ... Unpacking libldap-2.5-0:amd64 (2.5.13+dfsg-5) ... Selecting previously unselected package libnghttp2-14:amd64. Preparing to unpack .../062-libnghttp2-14_1.52.0-1+deb12u1_amd64.deb ... Unpacking libnghttp2-14:amd64 (1.52.0-1+deb12u1) ... Selecting previously unselected package libnspr4:amd64. Preparing to unpack .../063-libnspr4_2%3a4.35-1_amd64.deb ... Unpacking libnspr4:amd64 (2:4.35-1) ... Selecting previously unselected package libnss3:amd64. Preparing to unpack .../064-libnss3_2%3a3.87.1-1_amd64.deb ... Unpacking libnss3:amd64 (2:3.87.1-1) ... Selecting previously unselected package libpsl5:amd64. Preparing to unpack .../065-libpsl5_0.21.2-1_amd64.deb ... Unpacking libpsl5:amd64 (0.21.2-1) ... Selecting previously unselected package librtmp1:amd64. Preparing to unpack .../066-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_amd64.deb ... Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ... Selecting previously unselected package libssh2-1:amd64. Preparing to unpack .../067-libssh2-1_1.10.0-3+b1_amd64.deb ... Unpacking libssh2-1:amd64 (1.10.0-3+b1) ... Selecting previously unselected package nss-plugin-pem:amd64. Preparing to unpack .../068-nss-plugin-pem_1.0.8+1-1_amd64.deb ... Unpacking nss-plugin-pem:amd64 (1.0.8+1-1) ... Selecting previously unselected package libcurl3-nss:amd64. Preparing to unpack .../069-libcurl3-nss_7.88.1-10+deb12u4_amd64.deb ... Unpacking libcurl3-nss:amd64 (7.88.1-10+deb12u4) ... Selecting previously unselected package libffi-dev:amd64. Preparing to unpack .../070-libffi-dev_3.4.4-1_amd64.deb ... Unpacking libffi-dev:amd64 (3.4.4-1) ... Selecting previously unselected package libgmpxx4ldbl:amd64. Preparing to unpack .../071-libgmpxx4ldbl_2%3a6.2.1+dfsg1-1.1_amd64.deb ... Unpacking libgmpxx4ldbl:amd64 (2:6.2.1+dfsg1-1.1) ... Selecting previously unselected package libgmp-dev:amd64. Preparing to unpack .../072-libgmp-dev_2%3a6.2.1+dfsg1-1.1_amd64.deb ... Unpacking libgmp-dev:amd64 (2:6.2.1+dfsg1-1.1) ... Selecting previously unselected package libicu-dev:amd64. Preparing to unpack .../073-libicu-dev_72.1-3_amd64.deb ... Unpacking libicu-dev:amd64 (72.1-3) ... Selecting previously unselected package libisl-dev:amd64. Preparing to unpack .../074-libisl-dev_0.25-1_amd64.deb ... Unpacking libisl-dev:amd64 (0.25-1) ... Selecting previously unselected package libmpfr-dev:amd64. Preparing to unpack .../075-libmpfr-dev_4.2.0-1_amd64.deb ... Unpacking libmpfr-dev:amd64 (4.2.0-1) ... Selecting previously unselected package libmpc-dev:amd64. Preparing to unpack .../076-libmpc-dev_1.3.1-1_amd64.deb ... Unpacking libmpc-dev:amd64 (1.3.1-1) ... Selecting previously unselected package libncurses6:amd64. Preparing to unpack .../077-libncurses6_6.4-4_amd64.deb ... Unpacking libncurses6:amd64 (6.4-4) ... Selecting previously unselected package libncurses-dev:amd64. Preparing to unpack .../078-libncurses-dev_6.4-4_amd64.deb ... Unpacking libncurses-dev:amd64 (6.4-4) ... Selecting previously unselected package libpfm4:amd64. Preparing to unpack .../079-libpfm4_4.13.0-1_amd64.deb ... Unpacking libpfm4:amd64 (4.13.0-1) ... Selecting previously unselected package libtext-unidecode-perl. Preparing to unpack .../080-libtext-unidecode-perl_1.30-3_all.deb ... Unpacking libtext-unidecode-perl (1.30-3) ... Selecting previously unselected package libtinfo-dev:amd64. Preparing to unpack .../081-libtinfo-dev_6.4-4_amd64.deb ... Unpacking libtinfo-dev:amd64 (6.4-4) ... Selecting previously unselected package libxml-namespacesupport-perl. Preparing to unpack .../082-libxml-namespacesupport-perl_1.12-2_all.deb ... Unpacking libxml-namespacesupport-perl (1.12-2) ... Selecting previously unselected package libxml-sax-base-perl. Preparing to unpack .../083-libxml-sax-base-perl_1.09-3_all.deb ... Unpacking libxml-sax-base-perl (1.09-3) ... Selecting previously unselected package libxml-sax-perl. Preparing to unpack .../084-libxml-sax-perl_1.02+dfsg-3_all.deb ... Unpacking libxml-sax-perl (1.02+dfsg-3) ... Selecting previously unselected package libxml-libxml-perl. Preparing to unpack .../085-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1+b1_amd64.deb ... Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b1) ... Selecting previously unselected package libxml2-dev:amd64. Preparing to unpack .../086-libxml2-dev_2.9.14+dfsg-1.3~deb12u1_amd64.deb ... Unpacking libxml2-dev:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Selecting previously unselected package libyaml-0-2:amd64. Preparing to unpack .../087-libyaml-0-2_0.2.5-1_amd64.deb ... Unpacking libyaml-0-2:amd64 (0.2.5-1) ... Selecting previously unselected package libz3-dev:amd64. Preparing to unpack .../088-libz3-dev_4.8.12-3.1_amd64.deb ... Unpacking libz3-dev:amd64 (4.8.12-3.1) ... Selecting previously unselected package llvm-14-runtime. Preparing to unpack .../089-llvm-14-runtime_1%3a14.0.6-12_amd64.deb ... Unpacking llvm-14-runtime (1:14.0.6-12) ... Selecting previously unselected package llvm-runtime:amd64. Preparing to unpack .../090-llvm-runtime_1%3a14.0-55.7~deb12u1_amd64.deb ... Unpacking llvm-runtime:amd64 (1:14.0-55.7~deb12u1) ... Selecting previously unselected package llvm-14. Preparing to unpack .../091-llvm-14_1%3a14.0.6-12_amd64.deb ... Unpacking llvm-14 (1:14.0.6-12) ... Selecting previously unselected package llvm. Preparing to unpack .../092-llvm_1%3a14.0-55.7~deb12u1_amd64.deb ... Unpacking llvm (1:14.0-55.7~deb12u1) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../093-python3-pkg-resources_66.1.1-1_all.deb ... Unpacking python3-pkg-resources (66.1.1-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../094-python3-pygments_2.14.0+dfsg-1_all.deb ... Unpacking python3-pygments (2.14.0+dfsg-1) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../095-python3-yaml_6.0-3+b2_amd64.deb ... Unpacking python3-yaml (6.0-3+b2) ... Selecting previously unselected package llvm-14-tools. Preparing to unpack .../096-llvm-14-tools_1%3a14.0.6-12_amd64.deb ... Unpacking llvm-14-tools (1:14.0.6-12) ... Selecting previously unselected package llvm-14-dev. Preparing to unpack .../097-llvm-14-dev_1%3a14.0.6-12_amd64.deb ... Unpacking llvm-14-dev (1:14.0.6-12) ... Selecting previously unselected package llvm-dev. Preparing to unpack .../098-llvm-dev_1%3a14.0-55.7~deb12u1_amd64.deb ... Unpacking llvm-dev (1:14.0-55.7~deb12u1) ... Selecting previously unselected package tex-common. Preparing to unpack .../099-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package texinfo. Preparing to unpack .../100-texinfo_6.8-6+b1_amd64.deb ... Unpacking texinfo (6.8-6+b1) ... Selecting previously unselected package zlib1g-dev:amd64. Preparing to unpack .../101-zlib1g-dev_1%3a1.2.13.dfsg-1_amd64.deb ... Unpacking zlib1g-dev:amd64 (1:1.2.13.dfsg-1) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:amd64 (1.5.7-1) ... Setting up time (1.9-0.2) ... Setting up libpsl5:amd64 (0.21.2-1) ... Setting up libicu72:amd64 (72.1-3) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up gawk (1:5.2.1-2) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:amd64 (0.2.5-1) ... Setting up libisl-dev:amd64 (0.25-1) ... Setting up libpython3.11-stdlib:amd64 (3.11.2-6) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:amd64 (1.0.9-2+b6) ... Setting up libnghttp2-14:amd64 (1.52.0-1+deb12u1) ... Setting up libmagic1:amd64 (1:5.44-3) ... Setting up libxml-namespacesupport-perl (1.12-2) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up file (1:5.44-3) ... Setting up libffi-dev:amd64 (3.4.4-1) ... Setting up libsasl2-modules-db:amd64 (2.1.28+dfsg-10) ... Setting up libxml-sax-base-perl (1.09-3) ... Setting up autotools-dev (20220109.1) ... Setting up libz3-4:amd64 (4.8.12-3.1) ... Setting up libgmpxx4ldbl:amd64 (2:6.2.1+dfsg1-1.1) ... Setting up libpfm4:amd64 (4.13.0-1) ... Setting up libnspr4:amd64 (2:4.35-1) ... Setting up ed (1.19-1) ... Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ... Setting up libncurses6:amd64 (6.4-4) ... Setting up diffstat (1.65-1) ... Setting up libgnat-12:amd64 (12.2.0-14) ... Setting up autopoint (0.21-12) ... Setting up icu-devtools (72.1-3) ... Setting up libgc1:amd64 (1:8.2.2-3) ... Setting up libsasl2-2:amd64 (2.1.28+dfsg-10) ... Setting up autoconf (2.71-3) ... Setting up zlib1g-dev:amd64 (1:1.2.13.dfsg-1) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libuchardet0:amd64 (0.0.7-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up libssh2-1:amd64 (1.10.0-3+b1) ... Setting up sharutils (1:4.15.2-9) ... Setting up lsb-release (12.0-1) ... Setting up libtext-unidecode-perl (1.30-3) ... Setting up libbsd0:amd64 (0.11.7-2) ... Setting up libelf1:amd64 (0.188-2.1) ... Setting up libicu-dev:amd64 (72.1-3) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Setting up libpython3-stdlib:amd64 (3.11.2-1+b1) ... Setting up gnat-12 (12.2.0-14) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libz3-dev:amd64 (4.8.12-3.1) ... Setting up python3.11 (3.11.2-6) ... Setting up libncurses-dev:amd64 (6.4-4) ... Setting up gettext (0.21-12) ... Setting up libgmp-dev:amd64 (2:6.2.1+dfsg1-1.1) ... Setting up libtool (2.4.7-5) ... Setting up libedit2:amd64 (3.1-20221030-2) ... Setting up libobjc4:amd64 (12.2.0-14) ... Setting up python3 (3.11.2-1+b1) ... Setting up quilt (0.67+really0.66-1) ... Setting up libnss3:amd64 (2:3.87.1-1) ... Setting up libmpfr-dev:amd64 (4.2.0-1) ... Setting up libldap-2.5-0:amd64 (2.5.13+dfsg-5) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up autoconf2.69 (2.69-3.1) ... Setting up dh-autoreconf (20) ... Setting up patchutils (0.4.2-1) ... Setting up libxml2-dev:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Setting up ucf (3.0043+nmu1) ... Setting up libmpc-dev:amd64 (1.3.1-1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libllvm14:amd64 (1:14.0.6-12) ... Setting up libobjc-12-dev:amd64 (12.2.0-14) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up llvm-14-linker-tools (1:14.0.6-12) ... Setting up gcc-12-source (12.2.0-14) ... Setting up python3-pkg-resources (66.1.1-1) ... Setting up libtinfo-dev:amd64 (6.4-4) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up nss-plugin-pem:amd64 (1.0.8+1-1) ... Setting up libclang1-14 (1:14.0.6-12) ... Setting up python3-yaml (6.0-3+b2) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libxml-sax-perl (1.02+dfsg-3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up python3-pygments (2.14.0+dfsg-1) ... Setting up llvm-14-runtime (1:14.0.6-12) ... Setting up llvm-runtime:amd64 (1:14.0-55.7~deb12u1) ... Setting up libclang-common-14-dev (1:14.0.6-12) ... Setting up libclang-cpp14 (1:14.0.6-12) ... Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b1) ... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up libcurl3-nss:amd64 (7.88.1-10+deb12u4) ... Setting up llvm-14 (1:14.0.6-12) ... Setting up llvm-14-tools (1:14.0.6-12) ... Setting up clang-14 (1:14.0.6-12) ... Setting up debhelper (13.11.4) ... Setting up clang (1:14.0-55.7~deb12u1) ... Setting up llvm (1:14.0-55.7~deb12u1) ... Setting up texinfo (6.8-6+b1) ... Setting up llvm-14-dev (1:14.0.6-12) ... Setting up llvm-dev (1:14.0-55.7~deb12u1) ... Setting up dh-ada-library (8.6) ... Processing triggers for libc-bin (2.36-9+deb12u3) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/A99_set_merged_usr starting Not re-configuring usrmerge for bookworm I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/A99_set_merged_usr finished hostname: Name or service not known I: Running cd /build/reproducible-path/ghdl-2.0.0+dfsg/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../ghdl_2.0.0+dfsg-6.2_source.changes dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 2.0.0+dfsg-6.2 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Adrian Bunk dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' # dh_auto_clean complains about python-distutils and fails if it # doesn't see a Makefile, so override the default build system. dh_auto_clean --buildsystem=makefile make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' debian/rules override_dh_clean make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' # An .orig file exists in the release, don't delete it to not create # spurious differences to the tarball/git. dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' debian/rules binary dh binary dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_testdir mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps ------------------------------------------------------------ Configuring with mcode backend ------------------------------------------------------------ cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --enable-gplcompat \ --libdir=lib/ghdl/mcode Build machine is: x86_64-linux-gnu create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/mcode/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' Generate ortho_code-x86-flags.ads Generate elf_arch.ads Generate ghdlsynth_maybe.ads Generate default_paths.ads touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/configure-mcode dh_testdir mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --enable-gplcompat \ --libdir=lib/ghdl/llvm \ --with-llvm-config Build machine is: x86_64-linux-gnu Debugging is enabled with llvm 14.0.6 create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/llvm/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' Generate ghdlsynth_maybe.ads Generate default_paths.ads touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/configure-llvm dh_testdir mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules # We have to disable the gcc-verbose-lto-link patch since it replaces # the LLINKER value with one that runs the linker under /usr/bin/time # and the spaces are not escaped properly somewhere on the ghdl side. set -e && \ cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc && \ ln -sf /usr/src/gcc-12/gcc-*.tar.* && \ cp -a /usr/src/gcc-12/debian/ . && \ if [ -n "$(grep -v '^\#' /build/reproducible-path/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series)" ]; then \ cp -n /build/reproducible-path/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/*.diff debian/patches/ && \ cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series >> debian/patches/series && \ sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /build/reproducible-path/ghdl-2.0.0+dfsg/debian/gcc-patches/gcc-12/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \ fi && \ echo -n > /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/debian/patches/gcc-verbose-lto-link.diff && \ debian/rules patch && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --enable-gplcompat \ --libdir=lib/ghdl/gcc \ --with-gcc=src && \ make copy-sources && \ /usr/bin/make -f debian/rules2 configure PF=usr/lib/ghdl/gcc with_bootstrap=off \ with_cc1=no with_dev=no separate_lang=yes enabled_languages=vhdl \ with_common_libs=no with_common_pkgs=no \ with_check="skipped for GHDL build" make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' Build environment: derivative=Debian, release=bookworm : # unpack gcc tarball mkdir -p stamps if [ -d /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src ]; then \ echo >&2 "Source directory /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-12.2.0 tar -x -f gcc-12.2.0-dfsg.tar.xz mv gcc-12.2.0 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src ln -sf libsanitizer /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/libasan rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/*.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/fsf-funding.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/*.info rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/fortran/*.info rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/libgomp/*.info for i in gcc/doc/analyzer.texi gcc/doc/avr-mmcu.texi gcc/doc/bugreport.texi gcc/doc/cfg.texi gcc/doc/collect2.texi gcc/doc/compat.texi gcc/doc/configfiles.texi gcc/doc/configterms.texi gcc/doc/contrib.texi gcc/doc/contribute.texi gcc/doc/cpp.texi gcc/doc/cppdiropts.texi gcc/doc/cppenv.texi gcc/doc/cppinternals.texi gcc/doc/cppopts.texi gcc/doc/cppwarnopts.texi gcc/doc/extend.texi gcc/doc/fragments.texi gcc/doc/frontends.texi gcc/doc/gccint.texi gcc/doc/gcov.texi gcc/doc/gcov-dump.texi gcc/doc/gcov-tool.texi gcc/doc/generic.texi gcc/doc/gimple.texi gcc/doc/gnu.texi gcc/doc/gty.texi gcc/doc/headerdirs.texi gcc/doc/hostconfig.texi gcc/doc/implement-c.texi gcc/doc/implement-cxx.texi gcc/doc/install-old.texi gcc/doc/install.texi gcc/doc/interface.texi gcc/doc/invoke.texi gcc/doc/languages.texi gcc/doc/libgcc.texi gcc/doc/loop.texi gcc/doc/lto.texi gcc/doc/makefile.texi gcc/doc/match-and-simplify.texi gcc/doc/md.texi gcc/doc/objc.texi gcc/doc/optinfo.texi gcc/doc/options.texi gcc/doc/passes.texi gcc/doc/plugins.texi gcc/doc/poly-int.texi gcc/doc/portability.texi gcc/doc/rtl.texi gcc/doc/service.texi gcc/doc/sourcebuild.texi gcc/doc/standards.texi gcc/doc/tm.texi.in gcc/doc/tm.texi gcc/doc/tree-ssa.texi gcc/doc/trouble.texi gcc/doc/ux.texi gcc/doc/include/gcc-common.texi gcc/doc/include/funding.texi gcc/fortran/gfc-internals.texi gcc/fortran/invoke.texi gcc/fortran/intrinsic.texi ; do \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i ]; then \ cp debian/dummy.texi /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \ else \ cp debian/dummy.texi /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done ( \ echo '@include gcc-vers.texi'; \ echo '@macro versionsubtitle'; \ echo '@subtitle For @sc{gcc} version @value{version-GCC}'; \ echo '@vskip 0pt plus 1filll'; \ echo '@end macro'; \ ) > /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/doc/include/gcc-common.texi for i in gcc/doc/gcc.texi gcc/doc/lto-dump.texi gcc/ada/gnat-style.texi gcc/ada/gnat_rm.texi gcc/ada/gnat_ugn.texi gcc/fortran/gfortran.texi gcc/go/gccgo.texi libgomp/libgomp.texi libquadmath/libquadmath.texi ; do \ n=$(basename $i .texi); \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i ]; then \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \ else \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done for i in gcc/doc/cpp.1 gcc/doc/g++.1 gcc/doc/gc-analyze.1 gcc/doc/gcc.1 gcc/doc/gccgo.1 gcc/doc/gcov.1 gcc/doc/gcov-dump.1 gcc/doc/gcov-tool.1 gcc/doc/gfortran.1 gcc/lto/lto-dump.1 gcc/doc/fsf-funding.7 ; do \ touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/$i; \ done rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/INSTALL/*.html rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/zlib/contrib/dotzlib/DotZLib.chm echo "gcc-12.2.0-dfsg.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-12.2.0-dfsg.tar.xz : # unpack gm2 tarball mkdir -p stamps : # rm -rf gm2-20220506 tar -x -f /usr/src/gcc-12/gm2-20220506.tar.xz (cd gm2 && tar cf - gcc libgm2) | (cd src && tar xf -) rm -rf gm2 echo "gm2-20220506.tar.xz unpacked." > stamps/01-unpack-stamp-gm2-20220506.tar.xz echo -e "\nBuilt from Debian source package gcc-12-12.2.0-14" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-12.2.0-dfsg.tar.xz gm2-20220506.tar.xz; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-pic.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libffi-race-condition.diff cuda-float128.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff pr94253.diff gcc-arm-disable-guality-tests.diff musl-ssp.diff pr79724-revert.diff pr104290-followup.diff arc-stddef.diff libstdc++-hurd.diff pr107475.diff pr93371.diff gm2.diff gm2-info-no-headers.diff gm2-texinfo.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-perl-shebang.diff gdc-texinfo.diff alpha-ieee.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff libstdc++-pythondir.diff gcc-verbose-lto-link.diff ada-armel-libatomic.diff ada-kfreebsd.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff fix_ghdl_ppc64.diff reenable_gengtype_c_files.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch gcc-textdomain.diff patching file src/gcc/intl.cc patching file src/gcc/Makefile.in patching file src/libcpp/init.cc patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.cc patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h patching file src/gcc/c-family/c-cppbuiltin.cc Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-pic.diff patching file src/libstdc++-v3/src/Makefile.am patching file src/libstdc++-v3/src/Makefile.in Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.cc Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/std/numbers Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.cc Applying patch kfreebsd-decimal-float.diff patching file src/gcc/configure.ac patching file src/libdecnumber/configure.ac patching file src/libgcc/configure.ac Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.cc Applying patch pr94253.diff patching file src/gcc/config/rs6000/rs6000.cc Applying patch gcc-arm-disable-guality-tests.diff patching file src/gcc/testsuite/gcc.dg/guality/guality.exp Applying patch musl-ssp.diff patching file src/gcc/gcc.cc Applying patch pr79724-revert.diff patching file src/gcc/ada/osint.adb Applying patch pr104290-followup.diff patching file src/gcc/config/gnu.h Applying patch arc-stddef.diff patching file src/gcc/config/arc/arc-arch.h Applying patch libstdc++-hurd.diff patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h Applying patch pr107475.diff patching file src/gcc/ada/Makefile.rtl Hunk #1 succeeded at 2666 (offset 82 lines). Applying patch pr93371.diff patching file src/gcc/gcc.cc Hunk #1 succeeded at 881 (offset 4 lines). Applying patch gm2.diff patching file src/Makefile.def patching file src/Makefile.in patching file src/Makefile.tpl patching file src/configure patching file src/configure.ac patching file src/gcc/c-family/cppspec.cc patching file src/gcc/c/gccspec.cc patching file src/gcc/cp/g++spec.cc patching file src/gcc/d/d-spec.cc patching file src/gcc/fortran/gfortranspec.cc patching file src/gcc/gcc.cc patching file src/gcc/gcc.h patching file src/gcc/go/gospec.cc patching file src/gcc/jit/jit-spec.cc Applying patch gm2-info-no-headers.diff patching file src/gcc/m2/Make-lang.in patching file src/gcc/doc/gm2.texi Hunk #1 succeeded at 65 (offset 1 line). Applying patch gm2-texinfo.diff patching file src/gcc/doc/gm2.texi Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch gdc-texinfo.diff patching file src/gcc/d/gdc.texi Applying patch alpha-ieee.diff patching file src/gcc/config/alpha/alpha.cc Applying patch sys-auxv-header.diff patching file src/gcc/configure.ac patching file src/gcc/config.in patching file src/gcc/config/rs6000/driver-rs6000.cc Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.cc Applying patch libstdc++-pythondir.diff patching file src/libstdc++-v3/python/Makefile.am patching file src/libstdc++-v3/python/Makefile.in Applying patch gcc-verbose-lto-link.diff Patch gcc-verbose-lto-link.diff appears to be empty; applied Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl patching file src/Makefile.def patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-kfreebsd.diff patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/s-oscons-tmplt.c Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.cc Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.cc Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/i386/t-kfreebsd patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc patching file src/gcc/config/mips/mips.h patching file src/gcc/config/tilegx/t-tilegx patching file src/gcc/config/riscv/t-linux patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux patching file src/gcc/config/arc/t-multilib-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.cc patching file src/gcc/incpath.cc Applying patch canonical-cpppath.diff patching file src/gcc/incpath.cc Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config/rs6000/t-linux patching file src/gcc/config/loongarch/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h patching file src/gcc/config/m68k/linux.h patching file src/gcc/config/sh/linux.h patching file src/gcc/config/pa/pa-linux.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h Applying patch libgomp-kfreebsd-testsuite.diff patching file src/libgomp/testsuite/libgomp.c/lock-2.c Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Applying patch fix_ghdl_ppc64.diff patching file src/gcc/config/rs6000/rs6000-logue.cc Applying patch reenable_gengtype_c_files.diff patching file src/gcc/gengtype.cc Now at patch reenable_gengtype_c_files.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgo/ | xargs -d ' ' -L 1 -P 16 -I{} \ sh -c 'echo "Running autoconf2.69 in {}..." ; \ cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69' xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value Running autoconf2.69 in src/... Running autoconf2.69 in src/gcc/... Running autoconf2.69 in src/libcc1/... Running autoconf2.69 in src/libdecnumber/... Running autoconf2.69 in src/libffi/... Running autoconf2.69 in src/libgcc/... Running autoconf2.69 in src/libgo/... for i in gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-pic libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libffi-race-condition cuda-float128 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 pr94253 gcc-arm-disable-guality-tests musl-ssp pr79724-revert pr104290-followup arc-stddef libstdc++-hurd pr107475 pr93371 gm2 gm2-info-no-headers gm2-texinfo ada-gcc-name ada-verbose ada-link-lib ada-gnattools-cross ada-lib-info-source-date-epoch ada-perl-shebang gdc-texinfo alpha-ieee sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling libstdc++-pythondir gcc-verbose-lto-link ada-armel-libatomic ada-kfreebsd gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite fix_ghdl_ppc64 reenable_gengtype_c_files ; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '/* distro specific configuration injected by the distro build. */'; \ echo ''; \ echo '#ifndef ACCEL_COMPILER' \ ) >> /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#endif' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' Build machine is: x86_64-linux-gnu create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/gcc/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' Generate ghdlsynth_maybe.ads Generate default_paths.ads make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.* | 10.* | 11.* | 12.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p ../../scripts/gcc/Make-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' dh_testdir : # give information about the build process ------------------------ Build process variables ------------------------ Memory on this machine: MemTotal: 65827868 kB MemFree: 3249184 kB MemAvailable: 4122028 kB SwapCached: 316552 kB SwapTotal: 205520888 kB SwapFree: 112308760 kB Number of parallel processes used for the build: 16 DEB_BUILD_OPTIONS: buildinfo=+all reproducible=+all parallel=16 Package source: gcc-12 GCC version: Base Debian version: 12 Configured with: -v --with-pkgversion='Debian 12.2.0-14' --with-bugurl='file:///usr/share/doc/gcc-12/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-12 --program-prefix=x86_64-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-vtable-verify --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --disable-werror --enable-cet --with-arch-32=i686 --with-abi=m64 --with-multilib-list=m32,m64,mx32 --enable-multilib --with-tune=generic --enable-offload-targets=nvptx-none=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc,amdgcn-amdhsa=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/debian/tmp-gcn/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=x86_64-linux-gnu --host=x86_64-linux-gnu --target=x86_64-linux-gnu Using shell /bin/bash -e Architecture: amd64 (GNU: x86_64-linux-gnu) CPPFLAGS: CFLAGS: LDFLAGS: BOOT_CFLAGS: DEBIAN_BUILDARCH: Install prefix: /usr/lib/ghdl/gcc Will build the triarch compilers (64/32/x32, defaulting to 64bit) Will not build the C++ compiler: Will not build the ObjC compiler: Will not build the Obj-C++ compiler: Will not build the Fortran 95 compiler: Will not build the Ada compiler: Will not build the Go compiler: Will not build the D compiler: Will not build the Modula-2 compiler: Will build without SSP support: Will not run the testsuite: skipped for GHDL build Will enable national language support. ----------------------------------------------------------------------------- rm -f stamps/04-configure-stamp stamps/05-build-stamp cat debian/README.Debian stamps/02-patch-stamp > debian/README.Debian.amd64 rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build : # some tools like gettext are built with a newer libstdc++ mkdir -p bin for i in msgfmt; do \ install -m755 debian/bin-wrapper.in bin/$i; \ done : # configure cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build \ && PATH=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/bin:/usr/lib/x86_64-linux-gnu/gcc/bin:$PATH \ CC="x86_64-linux-gnu-gcc-12" CXX="x86_64-linux-gnu-g++-12" LDFLAGS_FOR_TARGET="-Wl,-z,relro" \ \ ../src/configure -v --with-pkgversion='Debian 12.2.0-14' --with-bugurl='file:///usr/share/doc/gcc-12/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-12 --program-prefix=x86_64-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-vtable-verify --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --disable-werror --enable-cet --with-arch-32=i686 --with-abi=m64 --with-multilib-list=m32,m64,mx32 --enable-multilib --with-tune=generic --enable-offload-targets=nvptx-none=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc,amdgcn-amdhsa=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/debian/tmp-gcn/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=x86_64-linux-gnu --host=x86_64-linux-gnu --target=x86_64-linux-gnu checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /bin/sed checking for gawk... gawk checking for libvtv support... yes checking for libphobos support... yes checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... none needed checking for x86_64-linux-gnu-gcc-12 option to accept ISO C99... none needed checking whether we are using the GNU C++ compiler... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for x86_64-linux-gnu-gnatbind... x86_64-linux-gnu-gnatbind checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j16 -R -eS checking whether compiler driver understands Ada... yes checking for x86_64-linux-gnu-gdc... no checking for gdc... no checking whether the D compiler works... no checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking whether x86_64-linux-gnu-g++-12 supports C++11 features by default... yes checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr/mpc libraries... yes checking for isl 0.15 or later... yes The following languages will be built: c,lto,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath gnattools gotools target-libada target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libitm target-libsanitizer target-libssp target-libvtv (Any other directories should still work fine.) checking for default BUILD_CONFIG... checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... makeinfo checking for expect... no checking for runtest... no checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-as... x86_64-linux-gnu-as checking for x86_64-linux-gnu-dlltool... no checking for dlltool... no checking for x86_64-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for x86_64-linux-gnu-ld... x86_64-linux-gnu-ld checking for x86_64-linux-gnu-lipo... no checking for lipo... no checking for x86_64-linux-gnu-nm... x86_64-linux-gnu-nm checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-windres... no checking for windres... no checking for x86_64-linux-gnu-windmc... no checking for windmc... no checking for x86_64-linux-gnu-objcopy... x86_64-linux-gnu-objcopy checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking for x86_64-linux-gnu-otool... no checking for otool... no checking for x86_64-linux-gnu-readelf... x86_64-linux-gnu-readelf checking for x86_64-linux-gnu-cc... no checking for cc... cc checking for x86_64-linux-gnu-c++... no checking for c++... c++ checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc checking for x86_64-linux-gnu-gfortran... no checking for gfortran... no checking for x86_64-linux-gnu-gccgo... no checking for gccgo... no checking for x86_64-linux-gnu-gdc... no checking for gdc... no checking for x86_64-linux-gnu-gm2... no checking for gm2... no checking for ar... no checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for as... no checking for x86_64-linux-gnu-as... x86_64-linux-gnu-as checking for dlltool... no checking for x86_64-linux-gnu-dlltool... no checking for dlltool... no checking for dsymutil... no checking for x86_64-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for ld... no checking for x86_64-linux-gnu-ld... x86_64-linux-gnu-ld checking for lipo... no checking for x86_64-linux-gnu-lipo... no checking for lipo... no checking for nm... no checking for x86_64-linux-gnu-nm... x86_64-linux-gnu-nm checking for objcopy... no checking for x86_64-linux-gnu-objcopy... x86_64-linux-gnu-objcopy checking for objdump... no checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking for otool... no checking for x86_64-linux-gnu-otool... no checking for otool... no checking for ranlib... no checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for readelf... no checking for x86_64-linux-gnu-readelf... x86_64-linux-gnu-readelf checking for strip... no checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for windres... no checking for x86_64-linux-gnu-windres... no checking for windres... no checking for windmc... no checking for x86_64-linux-gnu-windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target dsymutil... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile : # multilib builds without b-d on gcc-multilib (used in FLAGS_FOR_TARGET) if [ -d /usr/include/x86_64-linux-gnu/asm ]; then \ mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include; \ ln -sf /usr/include/x86_64-linux-gnu/asm /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include/asm; \ fi touch stamps/04-configure-stamp make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/configure-gcc dh override_dh_auto_configure make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' debian/rules override_dh_auto_build make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_testdir ------------------------------------------------------------ Building with mcode backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o jumps.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/config/jumps.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/config/jumps.c:132:1: warning: 'grt_overflow_handler' defined but not used [-Wunused-function] 132 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o times.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/config/times.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cstdio.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cgnatrts.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cvpi.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cvhpi.c In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cvhpi.c:33: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cdynload.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cdynload.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fstapi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/fst gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o lz4.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/fst/lz4.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fastlz.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/fst/fastlz.c echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads gcc-12 -c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o memsegs_c.o ../../src/ortho/mcode/memsegs_c.c gcc-12 -c -fPIC -DWITH_GNAT_RUN_TIME -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o chkstk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/config/chkstk.S VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl_mcode" final executable "ghdl_jit.ali" being checked ... -> "ghdl_jit.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdl_jit.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlprint.adb "ghdlrun.ali" being checked ... -> "ghdlrun.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlrun.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdlsynth_maybe.ads "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlxml.adb "ortho_jit.ali" being checked ... -> "ortho_jit.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_jit.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb "binary_file.ali" being checked ... -> "binary_file.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file.adb "binary_file-format.ali" being checked ... -> "binary_file-format.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file-format.ads "binary_file-memory.ali" being checked ... -> "binary_file-memory.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file-memory.adb "ortho_code.ali" being checked ... -> "ortho_code.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code.ads "ortho_code-abi.ali" being checked ... -> "ortho_code-abi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-abi.ads "ortho_code-debug.ali" being checked ... -> "ortho_code-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-debug.adb "ortho_code-dwarf.ali" being checked ... -> "ortho_code-dwarf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-dwarf.adb "ortho_code-flags.ali" being checked ... -> "ortho_code-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-flags.ads "ortho_mcode.ali" being checked ... -> "ortho_mcode.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_mcode.adb "ortho_mcode-jit.ali" being checked ... -> "ortho_mcode-jit.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_mcode-jit.adb "symbolizer.ali" being checked ... -> "symbolizer.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/symbolizer.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_nodes.ads "binary_file-elf.ali" being checked ... -> "binary_file-elf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file-elf.adb "ortho_code-x86.ali" being checked ... -> "ortho_code-x86.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86.adb "ortho_code-x86-abi.ali" being checked ... -> "ortho_code-x86-abi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-abi.adb "ortho_code-binary.ali" being checked ... -> "ortho_code-binary.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-binary.adb "ortho_code-decls.ali" being checked ... -> "ortho_code-decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-decls.adb "ortho_code-exprs.ali" being checked ... -> "ortho_code-exprs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-exprs.adb ortho_code-x86-abi.adb:26:06: warning: unnecessary with of ancestor [-gnatwr] "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A default_paths.ads "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_ident.adb "ortho_code-consts.ali" being checked ... -> "ortho_code-consts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-consts.adb "ortho_code-types.ali" being checked ... -> "ortho_code-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-types.adb "dwarf.ali" being checked ... -> "dwarf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/dwarf.ads "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-console.adb "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/types.ads "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A version.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "elf32.ali" being checked ... -> "elf32.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf32.adb "elf64.ali" being checked ... -> "elf64.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf64.adb "elf_arch.ali" being checked ... -> "elf_arch.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A elf_arch.ads "elf_common.ali" being checked ... -> "elf_common.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_common.adb "ortho_code-disps.ali" being checked ... -> "ortho_code-disps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-disps.adb "ortho_code-x86-emits.ali" being checked ... -> "ortho_code-x86-emits.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb "ortho_code-x86-insns.ali" being checked ... -> "ortho_code-x86-insns.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-insns.adb "ortho_code-x86-flags.ali" being checked ... -> "ortho_code-x86-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ortho_code-x86-flags.ads "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_tables.adb "foreigns.ali" being checked ... -> "foreigns.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/foreigns.adb "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb "grt-backtraces-jit.ali" being checked ... -> "grt-backtraces-jit.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-jit.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-dynload.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files.adb "grt-images.ali" being checked ... -> "grt-images.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-images.adb "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-lib.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-main.adb "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-modules.adb "grt-names.ali" being checked ... -> "grt-names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-names.adb "grt-options.ali" being checked ... -> "grt-options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-options.adb "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-processes.adb "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-signals.adb "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-std_logic_1164.adb "grt-values.ali" being checked ... -> "grt-values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-values.adb "grtlink.ali" being checked ... -> "grtlink.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grtlink.ads "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb "trans_be.ali" being checked ... -> "trans_be.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_be.adb "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_decls.ads "translation.ali" being checked ... -> "translation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/translation.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb "disassemble.ali" being checked ... -> "disassemble.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/disassemble.ads "hex_images.ali" being checked ... -> "hex_images.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/hex_images.adb "memsegs.ali" being checked ... -> "memsegs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/memsegs.ads "ortho_code-opts.ali" being checked ... -> "ortho_code-opts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-opts.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "elf_arch64.ali" being checked ... -> "elf_arch64.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_arch64.ads "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "ortho_code-x86-flags_linux64.ali" being checked ... -> "ortho_code-x86-flags_linux64.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-flags_linux64.ads "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A grt-backtraces-impl.ads "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-hooks.adb "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-change_generics.adb "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-shadow_ieee.adb "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_tree.adb "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst.adb "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-psl.adb "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcd.adb "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcdz.adb "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vhpi.adb "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vital_annotate.adb "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_utils.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "disa_x86.ali" being checked ... -> "disa_x86.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/disa_x86.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "memsegs_mmap.ali" being checked ... -> "memsegs_mmap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/memsegs_mmap.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "trans.ali" being checked ... -> "trans.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap4.adb "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap7.adb "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-rtis.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_types.adb "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -E -x ghdl_jit.ali x86_64-linux-gnu-gnatlink-12 ghdl_jit.ali -o ghdl_mcode -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic -R make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/mcode" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode GHDL_FLAGS="" VHDL_COPY_OBJS=no vhdl.libs.all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/mcode/std/v87/std-obj87.cf cd lib/ghdl/mcode/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/mcode/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/mcode/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/mcode/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/mcode/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/mcode/ieee/v87/ieee-obj87.cf cd lib/ghdl/mcode/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/mcode/std/v93/std-obj93.cf cd lib/ghdl/mcode/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/mcode/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/mcode/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/mcode/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/mcode/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/mcode/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/mcode/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/mcode/ieee/v93/ieee-obj93.cf cd lib/ghdl/mcode/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/mcode/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/mcode/src/std/env-body.vhdl rm -f -f lib/ghdl/mcode/std/v08/std-obj08.cf cd lib/ghdl/mcode/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/mcode/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/mcode/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/mcode/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/mcode/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/mcode/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/mcode/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/mcode/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/mcode/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/mcode/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/mcode/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/mcode/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/mcode/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/mcode/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/mcode/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/mcode/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/mcode/ieee/v08/ieee-obj08.cf cd lib/ghdl/mcode/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/mcode/src/ieee2008/LICENSE make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' gcc-12 -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -c -g -o vhpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_thunk.c:30: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-12 -c -fPIC -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cstdio.c gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "lib/libghdl-2_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/libghdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/libghdl/libghdl.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/errorout.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/errorout-memory.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/files_map.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/files_map-editor.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map-editor.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/ghdlcomp.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/ghdllocal.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/options.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-formatters.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/ghdlsynth_maybe.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/ghdlsynth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/dyn_tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_tables.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/libraries.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-dump_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/simple_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/std_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-disp_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-parse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/default_paths.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/default_paths.ads "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/errorout-console.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-console.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/ghdlmain.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-dump.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-inference.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synthesis.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/version.ads "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-annotations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-canon.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-configuration.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-std_package.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_files.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-cleanup.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-expands.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-source.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-environment-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-static_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI. -aO/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/libghdl.ali x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-12 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-12 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/build-mcode dh_testdir ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' g++-12 -c `llvm-config --cxxflags` -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp In file included from /usr/include/c++/12/cassert:44, from /usr/lib/llvm-14/include/llvm/Support/FileSystem.h:39, from ../../src/ortho/llvm6/llvm-cbindings.cpp:47: ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void finish_record_type(OElementList*, OTnodeBase**)': ../../src/ortho/llvm6/llvm-cbindings.cpp:751:12: warning: comparison of integer expressions of different signedness: 'int' and 'unsigned int' [-Wsign-compare] 751 | assert(i == Els->BndCount); | ~~^~~~~~~~~~~~~~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void finish_declare_stmt()': ../../src/ortho/llvm6/llvm-cbindings.cpp:1696:20: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 1696 | LLVMBuildCall(Builder, StackRestoreFun, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~ 1697 | &CurrentDeclareBlock->StackValue, 1, ""); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/lib/llvm-14/include/llvm-c/Core.h:18, from ../../src/ortho/llvm6/llvm-cbindings.cpp:30: /usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here 3992 | LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_function_call(OAssocList*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2087:24: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2087 | Res = LLVMBuildCall(Builder, Assocs->Subprg->Ref, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 2088 | Assocs->Vals, Assocs->Subprg->Inters.size(), ""); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here 3992 | LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'void new_procedure_call(OAssocList*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2100:18: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2100 | LLVMBuildCall(Builder, Assocs->Subprg->Ref, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 2101 | Assocs->Vals, Assocs->Subprg->Inters.size(), ""); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here 3992 | LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_convert(OEnode, OTnode)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2471:26: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2471 | V = LLVMBuildCall(Builder, CopySignFun, Args, 2, ""); | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here 3992 | LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_alloca(OTnode, OEnode)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2532:22: warning: 'LLVMOpaqueValue* LLVMBuildCall(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2532 | LLVMBuildCall(Bld, StackSaveFun, nullptr, 0, ""); | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3992:18: note: declared here 3992 | LLVMValueRef LLVMBuildCall(LLVMBuilderRef, LLVMValueRef Fn, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OGnode new_global_selected_element(OGnode, OFnodeBase*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2570:25: warning: 'LLVMOpaqueValue* LLVMConstGEP(LLVMValueRef, LLVMOpaqueValue**, unsigned int)' is deprecated [-Wdeprecated-declarations] 2570 | Res = LLVMConstGEP(Rec.Ref, Idx, 2); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:2157:18: note: declared here 2157 | LLVMValueRef LLVMConstGEP(LLVMValueRef ConstantVal, | ^~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OEnode new_value(OLnode*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2632:26: warning: 'LLVMOpaqueValue* LLVMBuildLoad(LLVMBuilderRef, LLVMValueRef, const char*)' is deprecated [-Wdeprecated-declarations] 2632 | Res = LLVMBuildLoad(Builder, Lvalue->Ref, ""); | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3892:18: note: declared here 3892 | LLVMValueRef LLVMBuildLoad(LLVMBuilderRef, LLVMValueRef PointerVal, | ^~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_indexed_element(OLnode*, OEnode)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2655:23: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2655 | Res = LLVMBuildGEP(Builder, Arr->Ref, Idx, 2, ""); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here 3899 | LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer, | ^~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_slice(OLnode*, OTnode, OEnode)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2671:23: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2671 | Res = LLVMBuildGEP(Builder, Arr->Ref, Idx, 2, ""); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here 3899 | LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer, | ^~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_selected_element(OLnode*, OFnodeBase*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2692:27: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2692 | Res = LLVMBuildGEP(Builder, Rec->Ref, Idx, 2, ""); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here 3899 | LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer, | ^~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_access_element(OEnode)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2720:25: warning: 'LLVMOpaqueValue* LLVMBuildGEP(LLVMBuilderRef, LLVMValueRef, LLVMOpaqueValue**, unsigned int, const char*)' is deprecated [-Wdeprecated-declarations] 2720 | Res = LLVMBuildGEP(Builder, Acc.Ref, Idx, 2, ""); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Core.h:3899:18: note: declared here 3899 | LLVMValueRef LLVMBuildGEP(LLVMBuilderRef B, LLVMValueRef Pointer, | ^~~~~~~~~~~~ /usr/lib/llvm-14/include/llvm-c/Deprecated.h:29:3: note: in definition of macro 'LLVM_ATTRIBUTE_C_DEPRECATED' 29 | decl __attribute__((deprecated)) | ^~~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OGnode new_global_selected_element(OGnode, OFnodeBase*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2577:25: warning: 'Res' may be used uninitialized [-Wmaybe-uninitialized] 2577 | return {Res, El->FType}; | ^ ../../src/ortho/llvm6/llvm-cbindings.cpp:2561:16: note: 'Res' was declared here 2561 | LLVMValueRef Res; | ^~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function 'OLnode new_selected_element(OLnode*, OFnodeBase*)': ../../src/ortho/llvm6/llvm-cbindings.cpp:2680:16: warning: 'Res' may be used uninitialized [-Wmaybe-uninitialized] 2680 | LLVMValueRef Res; | ^~~ gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... -> "ortho_code_main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_code_main.adb "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/ortho_front.adb "ortho_llvm.ali" being checked ... -> "ortho_llvm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_llvm.adb "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_ident.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "trans_be.ali" being checked ... -> "trans_be.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_be.adb "translation.ali" being checked ... -> "translation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/translation.adb "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata version.ads "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_nodes.ads "trans.ali" being checked ... -> "trans.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap4.adb "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap7.adb "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-rtis.adb "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_decls.ads trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali x86_64-linux-gnu-gnatlink-12 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o --LINK=g++-12 -L/usr/lib/llvm-14/lib -lLLVM-14 -Wl,-z,relro -Wl,-z,now -R make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... -> "ghdl_llvm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdl_llvm.adb "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdldrv.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ghdlsynth_maybe.ads "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlxml.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata default_paths.ads "options.ali" being checked ... "simple_io.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "std_names.ali" being checked ... "str_table.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-scanner.ali" being checked ... "dyn_tables.ali" being checked ... "logging.ali" being checked ... "tables.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-types.ali" being checked ... "psl-hash.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "lists.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "vhdl-xrefs.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "psl-priorities.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "version.ali" being checked ... "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb "vhdl-canon.ali" being checked ... "vhdl-configuration.ali" being checked ... "grt-types.ali" being checked ... "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "vhdl-sem_lib.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-prints.ali" being checked ... "psl-qm.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "grt-to_strings.ali" being checked ... "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "interning.ali" being checked ... "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -E -x ghdl_llvm.ali x86_64-linux-gnu-gnatlink-12 ghdl_llvm.ali -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -Wl,-z,relro -Wl,-z,now grt-cstdio.o -R gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o jumps.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c:132:1: warning: 'grt_overflow_handler' defined but not used [-Wunused-function] 132 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o times.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/config/times.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvhpi.c In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvhpi.c:33: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cdynload.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fstapi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o lz4.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/lz4.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fastlz.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/ghdl_main.adb ghdl_main.adb:42:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:42:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:42:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-main.adb "grt-options.ali" being checked ... -> "grt-options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-options.adb "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_binding.ads "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-std_logic_1164.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis.adb "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-change_generics.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files.adb "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-hooks.adb "grt-images.ali" being checked ... -> "grt-images.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-images.adb "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-lib.adb "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-modules.adb "grt-names.ali" being checked ... -> "grt-names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-names.adb "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-processes.adb "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-shadow_ieee.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-signals.adb "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "grt-values.ali" being checked ... -> "grt-values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-values.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_tree.adb "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst.adb "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-psl.adb "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcd.adb "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcdz.adb "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vhpi.adb "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vital_annotate.adb "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_utils.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-backtraces-jit.ali" being checked ... -> "grt-backtraces-jit.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-jit.adb "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_types.adb "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/version.ads End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. x86_64-linux-gnu-gcc-12 -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/ -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/libgrt.a ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst echo "# link options for executables" > lib/ghdl/llvm/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/llvm/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/grt-shared.lst; done cp /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/std/v87/std-obj87.cf cd lib/ghdl/llvm/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/std/v93/std-obj93.cf cd lib/ghdl/llvm/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/std/v08/std-obj08.cf cd lib/ghdl/llvm/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/src/ieee2008/LICENSE cd lib/ghdl/llvm/std/v87; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found cd lib/ghdl/llvm/std/v93; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found cd lib/ghdl/llvm/std/v08; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gcc-12 -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -c -g -o vhpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_thunk.c:30: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-12 -c -fPIC -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "lib/libghdl-2_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/libghdl/libghdl.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout-memory.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/files_map.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/files_map-editor.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map-editor.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlcomp.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdllocal.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/options.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-formatters.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlsynth_maybe.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlsynth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_tables.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/libraries.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-dump_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/simple_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/std_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-disp_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/default_paths.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/default_paths.ads "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/errorout-console.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-console.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/ghdlmain.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-dump.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-inference.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synthesis.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/version.ads "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-annotations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-canon.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-configuration.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-std_package.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_files.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-cleanup.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-expands.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-source.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-environment-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-static_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI. -aO/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.ali x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-12 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-12 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/build-llvm dh_testdir ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ /usr/bin/make -j16 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' mkdir -p -- ./fixincludes mkdir -p -- ./libiberty Configuring in ./fixincludes mkdir -p -- ./intl mkdir -p -- ./lto-plugin Configuring in ./libiberty Configuring in ./intl Configuring in ./lto-plugin mkdir -p -- build-x86_64-linux-gnu/libiberty Configuring in build-x86_64-linux-gnu/libiberty mkdir -p -- build-x86_64-linux-gnu/fixincludes Configuring in build-x86_64-linux-gnu/fixincludes mkdir -p -- build-x86_64-linux-gnu/libcpp Configuring in build-x86_64-linux-gnu/libcpp mkdir -p -- ./libbacktrace mkdir -p -- ./libcody mkdir -p -- ./libdecnumber Configuring in ./libbacktrace Configuring in ./libcody Configuring in ./libdecnumber mkdir -p -- ./c++tools Configuring in ./c++tools configure: creating cache ./config.cache checking build system type... configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... configure: creating cache ./config.cache checking for x86_64-linux-gnu-gcc... no checking for makeinfo... x86_64-linux-gnu-gcc-12 makeinfo --split-size=5000000 configure: creating cache ./config.cache x86_64-pc-linux-gnu checking host system type... checking build system type... x86_64-pc-linux-gnu checking target system type... configure: creating cache ./config.cache x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 checking build system type... configure: creating cache ./config.cache configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 configure: creating cache ./config.cache x86_64-pc-linux-gnu checking target system type... checking build system type... configure: creating cache ./config.cache x86_64-pc-linux-gnu checking host system type... checking build system type... configure: creating cache ./config.cache checking whether /usr/bin/make sets $(MAKE)... x86_64-pc-linux-gnu checking whether /usr/bin/make sets $(MAKE)... checking build system type... x86_64-pc-linux-gnu checking host system type... checking build system type... checking whether the C compiler works... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... checking for a BSD-compatible install... yes /usr/bin/install -c checking whether build environment is sane... checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking target system type... yes checking for a BSD-compatible install... /usr/bin/install -c checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu checking maintainer-mode... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c yes x86_64-pc-linux-gnu checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 checking whether the C compiler works... checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... checking whether the C compiler works... yes checking whether the C compiler works... checking whether the C++ compiler works... yes checking for C compiler default output file name... a.out checking whether the C compiler works... checking whether /usr/bin/make supports nested variables... checking whether the C++ compiler works... checking whether the C compiler works... checking for suffix of executables... checking for perl... perl yes checking for C compiler default output file name... a.out yes checking for suffix of executables... checking build system type... x86_64-pc-linux-gnu checking host system type... checking for perl... perl checking whether to enable maintainer-specific portions of Makefiles... no checking for style of include used by /usr/bin/make... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 checking build system type... GNU checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 yes checking for C compiler default output file name... a.out x86_64-pc-linux-gnu checking host system type... checking for suffix of executables... yes checking for C compiler default output file name... a.out checking whether we are cross compiling... checking for suffix of executables... yes checking for C++ compiler default output file name... a.out yes checking for C++ compiler default output file name... a.out checking for suffix of executables... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... checking whether we are cross compiling... checking whether the C compiler works... checking whether we are cross compiling... no checking for suffix of object files... checking whether we are cross compiling... checking whether we are cross compiling... checking whether we are cross compiling... checking whether we are cross compiling... no checking for suffix of object files... yes checking for C compiler default output file name... a.out checking for suffix of executables... o checking whether we are using the GNU C compiler... checking whether the C compiler works... checking whether the C compiler works... no o checking whether we are using the GNU C compiler... checking for suffix of object files... no checking for suffix of object files... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... no no checking for suffix of object files... checking for suffix of object files... checking whether we are cross compiling... no no checking for suffix of object files... checking for suffix of object files... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... o checking whether we are using the GNU C compiler... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... o o checking whether we are using the GNU C compiler... checking whether we are using the GNU C compiler... yes checking for C compiler default output file name... a.out yes checking for C compiler default output file name... a.out o checking whether we are using the GNU C++ compiler... o checking whether we are using the GNU C++ compiler... checking for suffix of executables... checking for suffix of executables... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes o checking whether we are using the GNU C compiler... checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... no yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking for suffix of object files... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking whether we are cross compiling... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... none needed checking how to run the C preprocessor... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... checking whether x86_64-linux-gnu-g++-12 accepts -g... none needed checking how to run the C preprocessor... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... yes checking for autoconf... autoconf checking for autoheader... autoheader checking whether to build C++ tools... yes checking maintainer-mode... no checking for O_CLOEXEC... yes checking whether x86_64-linux-gnu-g++-12 is for C++11... none needed checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E none needed checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-ar... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... x86_64-linux-gnu-ar none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader no checking for suffix of object files... x86_64-linux-gnu-gcc-12 -E checking whether x86_64-linux-gnu-gcc-12 supports -W... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking how to run the C++ preprocessor... x86_64-linux-gnu-gcc-12 -E no checking for suffix of object files... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes checking for grep that handles long lines and -e... none needed o checking whether we are using the GNU C compiler... adding -std=c++11 /bin/grep checking for egrep... yes checking how to run the C preprocessor... /bin/grep -E checking for ANSI C header files... checking adding -Wl,--no-undefined to linker... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... o checking whether we are using the GNU C compiler... x86_64-linux-gnu-g++-12 -E checking for grep that handles long lines and -e... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes /bin/grep checking for egrep... none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... /bin/grep -E checking for ANSI C header files... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether we are using the GNU C++ compiler... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... x86_64-linux-gnu-gcc-12 -E yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... ok yes checking exceptions... no checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... checking for grep that handles long lines and -e... yes checking dependency style of x86_64-linux-gnu-gcc-12... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... configure: updating cache ./config.cache none needed checking how to run the C preprocessor... yes checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking how to run the C preprocessor... none needed checking how to run the C preprocessor... configure: creating ./config.status yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... gcc3 checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E x86_64-linux-gnu-gcc-12 -E yes x86_64-linux-gnu-gcc-12 -E checking for sys/types.h... yes x86_64-linux-gnu-gcc-12 -E checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes yes checking for sys/types.h... checking for sys/types.h... yes checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep checking for egrep... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... /bin/grep -E checking for ANSI C header files... /bin/grep -E checking for ANSI C header files... yes checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for sys/stat.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... yes yes checking for sys/stat.h... yes yes checking for sys/stat.h... yes checking for sys/types.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... checking for stdlib.h... yes checking for sys/types.h... yes yes yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... checking for stdlib.h... yes checking for stdlib.h... yes config.status: creating Makefile checking for sys/stat.h... yes yes checking for string.h... checking whether x86_64-linux-gnu-gcc-12 supports -fno-lto... yes config.status: creating config.h checking for sys/stat.h... yes yes checking for string.h... yes checking for string.h... yes yes yes checking for sys/types.h... checking for stdlib.h... yes checking for sys/types.h... checking how to run the C preprocessor... checking for sys/types.h... yes checking for memory.h... checking for sys/types.h... yes yes yes yes yes yes yes checking for memory.h... yes checking for stdlib.h... checking for sys/stat.h... checking for string.h... x86_64-linux-gnu-gcc-12 -E checking for sys/stat.h... checking for memory.h... checking for sys/stat.h... yes checking for strings.h... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' yes x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc checking for sys/stat.h... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc yes yes x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc yes x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc yes checking for strings.h... yes x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc checking for grep that handles long lines and -e... checking for stdlib.h... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc checking for memory.h... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for stdlib.h... yes checking for strings.h... checking for stdlib.h... yes yes yes checking for inttypes.h... yes checking for string.h... checking for stdlib.h... checking for inttypes.h... checking for string.h... yes yes yes yes checking for inttypes.h... yes checking for string.h... yes checking for string.h... checking for strings.h... yes yes yes checking for stdint.h... checking for string.h... checking for memory.h... checking for stdint.h... yes yes checking for memory.h... yes checking for stdint.h... yes checking for memory.h... yes yes checking for memory.h... checking for unistd.h... checking for inttypes.h... yes yes checking for memory.h... yes checking for strings.h... checking for unistd.h... yes yes yes checking for sys/types.h... yes checking for strings.h... yes yes yes checking minix/config.h usability... checking for unistd.h... checking for strings.h... checking for strings.h... yes yes checking for strings.h... yes checking for inttypes.h... checking for stdint.h... checking minix/config.h usability... yes checking for inttypes.h... no checking minix/config.h presence... checking for sys/stat.h... yes yes yes yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for stdint.h... no checking minix/config.h presence... checking minix/config.h usability... yes checking for inttypes.h... checking for inttypes.h... yes checking for unistd.h... checking for stdint.h... checking for inttypes.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes yes yes yes yes checking whether /usr/bin/make sets $(MAKE)... checking for stdlib.h... checking for stdint.h... checking for stdint.h... yes yes yes no checking minix/config.h presence... checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for unistd.h... checking for msgfmt... checking minix/config.h usability... yes /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt yes checking for a sed that does not truncate output... checking for unistd.h... yes /bin/sed no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for xgettext... checking for stdint.h... yes checking whether x86_64-linux-gnu-gcc-12 supports -W... yes checking for string.h... checking minix/config.h usability... yes checking for unistd.h... yes yes checking for unistd.h... no checking minix/config.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking minix/config.h usability... yes yes yes checking for a sed that does not truncate output... /bin/sed no checking minix/config.h presence... /usr/bin/xgettext checking for memory.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking for unistd.h... yes yes checking for msgmerge... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes checking minix/config.h usability... no checking minix/config.h presence... /usr/bin/msgmerge no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking minix/config.h usability... yes x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking build system type... checking for strings.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... x86_64-pc-linux-gnu checking host system type... yes yes no checking minix/config.h presence... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for library containing strerror... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... checking sys/mman.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... no checking minix/config.h presence... yes yes yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for a thread-safe mkdir -p... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking for inttypes.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc no yes checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether /usr/bin/make supports nested variables... yes yes none required checking for an ANSI C-conforming const... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking whether to enable maintainer-specific portions of Makefiles... no checking for x86_64-linux-gnu-gcc... (cached) x86_64-linux-gnu-gcc-12 yes yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes checking for x86_64-linux-gnu-gcc... (cached) x86_64-linux-gnu-gcc-12 yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking sys/mman.h presence... yes checking for inline... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes yes checking for stdint.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether we are using the GNU C compiler... (cached) yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... (cached) yes yes checking for sys/mman.h... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... (cached) none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... yes (cached) yes checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for gawk... (cached) gawk checking for dwz... dwz checking for AF_UNIX... checking how to print strings... inline checking for off_t... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... printf checking for a sed that does not truncate output... no /bin/sed checking for fgrep... checking whether we are using the GNU C compiler... (cached) yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... (cached) yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... (cached) none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... (cached) yes checking dependency style of x86_64-linux-gnu-gcc-12... (cached) gcc3 checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... /bin/grep -F checking for ld used by x86_64-linux-gnu-gcc-12... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking whether x86_64-linux-gnu-gcc-12 supports -W... yes yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm checking the name lister (x86_64-linux-gnu-nm) interface... checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wnarrowing... yes checking for unistd.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... no yes yes checking for AF_INET6... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes yes yes BSD nm checking whether ln -s works... checking for -static-libgcc... yes checking the maximum length of command line arguments... yes checking for ANSI C header files... (cached) yes checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... 1572864 checking whether the shell understands some XSI constructs... checking stddef.h usability... yes yes checking whether the shell understands "+="... yes yes checking ctype.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking for x86_64-linux-gnu-ld option to reload object files... -r checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... (cached) x86_64-linux-gnu-ranlib checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... yes checking for epoll... yes yes checking for size_t... yes checking stddef.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... yes yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes checking for CET support... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes checking for stddef.h... yes yes yes checking for pselect... yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking for stdlib.h... (cached) yes yes checking ctype.h presence... yes checking for ANSI C header files... (cached) yes checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking stddef.h usability... yes checking for ctype.h... yes checking for strings.h... (cached) yes yes yes checking for select... checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... yes checking for unistd.h... (cached) yes checking stddef.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking fcntl.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... yes checking stddef.h presence... yes checking for accept4... yes checking for working alloca.h... yes checking for stddef.h... yes yes yes checking stddef.h presence... ok yes checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking for stdlib.h... (cached) yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... yes checking for stddef.h... yes yes checking for alloca... yes checking fcntl.h presence... checking for strings.h... (cached) yes yes checking for inet_ntop... checking for string.h... (cached) yes checking for unistd.h... (cached) yes yes checking for fcntl.h... yes yes checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... yes checking for an ANSI C-conforming const... yes checking stdio.h usability... checking fcntl.h usability... checking sys/file.h usability... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... checking for stdlib.h... (cached) yes yes checking for inline... checking for unistd.h... (cached) yes yes checking for library containing gethostbyname... checking for dlfcn.h... checking for sys/param.h... yes checking stdio.h presence... yes checking fcntl.h presence... yes inline checking whether byte ordering is bigendian... checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes checking sys/file.h presence... yes checking whether symbol versioning is supported... yes checking for stdio.h... yes yes checking for fcntl.h... yes yes checking for sys/file.h... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking sys/file.h usability... none required checking for library containing socket... checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... checking for sys/stat.h... (cached) yes yes checking for objdir... gnu checking how to print strings... .libs yes yes printf checking for a sed that does not truncate output... checking for clearerr_unlocked... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by x86_64-linux-gnu-gcc-12... checking for getpagesize... checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for an ANSI C-conforming const... yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm checking the name lister (x86_64-linux-gnu-nm) interface... yes checking sys/file.h presence... yes checking for uintptr_t... none required yes checking for sys/file.h... yes yes yes checking for inline... no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... yes checking for working mmap... checking for sys/stat.h... (cached) yes yes inline checking whether byte ordering is bigendian... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... checking dependency style of x86_64-linux-gnu-g++-12... checking for clearerr_unlocked... configure: updating cache ./config.cache checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... 1572864 checking whether the shell understands some XSI constructs... checking for feof_unlocked... configure: creating ./config.status yes checking whether the shell understands "+="... yes yes checking for int_least32_t... checking for x86_64-linux-gnu-ld option to reload object files... -r checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... no checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes x86_64-linux-gnu-ar -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o checking for feof_unlocked... gcc3 checking whether time.h and sys/time.h may both be included... yes yes checking if x86_64-linux-gnu-gcc-12 static flag -static works... x86_64-linux-gnu-ranlib libcody.a checking for ferror_unlocked... yes checking for int_fast32_t... no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... yes checking whether string.h and strings.h may both be included... yes make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' checking whether we are using the GNU C Library 2.1 or newer... yes yes checking whether integer division by zero raises SIGFPE... yes checking for ferror_unlocked... checking locale.h usability... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... ok yes yes checking for uint64_t... checking for fflush_unlocked... checking for dlfcn.h... yes yes yes checking locale.h presence... checking for sys/file.h... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... checking for fflush_unlocked... yes yes checking for locale.h... yes config.status: creating Makefile checking for inttypes.h... yes yes checking what to include in gstdint.h... stdint.h (already complete) checking for an ANSI C-conforming const... yes yes checking whether -lc should be explicitly linked in... yes checking fcntl.h usability... checking for objdir... checking for sys/param.h... .libs config.status: creating config.h checking for fgetc_unlocked... yes checking for stdint.h... yes checking for off_t... yes yes no checking dynamic linker characteristics... yes checking fcntl.h presence... checking for fgetc_unlocked... checking for limits.h... yes checking for unsigned long long... yes checking for fcntl.h... yes yes yes yes checking limits.h usability... checking for sys/file.h... checking for stdlib.h... (cached) yes checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... checking for fgets_unlocked... yes yes checking for malloc.h... yes checking for inttypes.h... checking for sys/param.h... yes checking limits.h presence... yes checking for fgets_unlocked... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... no checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking for string.h... (cached) yes yes checking for limits.h... yes yes yes checking size of int... yes checking whether the inttypes.h PRIxNN macros are broken... checking for unistd.h... (cached) yes checking stddef.h usability... checking for limits.h... no yes checking unwind.h usability... yes checking if x86_64-linux-gnu-gcc-12 static flag -static works... checking for strings.h... (cached) yes yes yes checking for fileno_unlocked... no checking for ld used by GCC... checking for sys/time.h... checking for fileno_unlocked... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... checking for stdlib.h... (cached) yes yes checking for shared library run path origin... yes checking stddef.h presence... checking for malloc.h... yes yes checking for stddef.h... yes checking for time.h... yes checking unwind.h presence... yes checking for stdlib.h... (cached) yes done yes yes checking for unwind.h... yes checking for _Unwind_Backtrace... yes yes 4 checking size of long... checking for string.h... (cached) checking argz.h usability... yes checking for strings.h... (cached) yes yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... checking for fprintf_unlocked... checking for sys/resource.h... checking for fprintf_unlocked... checking for unistd.h... (cached) yes checking for string.h... (cached) yes yes checking for strings.h... (cached) yes checking sys/file.h usability... yes checking argz.h presence... yes checking for -funwind-tables option... checking for sys/stat.h... (cached) yes checking for sys/time.h... yes checking for argz.h... yes yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... checking for sys/mman.h... no yes checking for -frandom-seed=string option... yes no checking for fputc_unlocked... checking limits.h usability... yes yes checking whether -lc should be explicitly linked in... checking for fputc_unlocked... yes checking sys/file.h presence... 8 checking for ANSI C header files... (cached) yes checking for time.h... checking build system type... checking for fcntl.h... yes yes checking for sys/file.h... yes x86_64-pc-linux-gnu checking host system type... yes checking whether x86_64-linux-gnu-gcc-12 supports -W... x86_64-pc-linux-gnu checking target system type... checking for unistd.h... (cached) yes yes no checking dynamic linker characteristics... yes checking limits.h presence... x86_64-pc-linux-gnu checking for decimal floating point... bid checking whether byte ordering is bigendian... checking for sys/resource.h... checking whether byte ordering is bigendian... yes checking for alloca.h... yes checking for limits.h... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes yes yes yes checking locale.h usability... checking for fputs_unlocked... checking for sys/stat.h... (cached) yes checking for fputs_unlocked... checking for sys/pstat.h... yes checking for sys/mman.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes no checking for sys/sysmp.h... yes checking for fcntl.h... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes checking locale.h presence... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for int64_t... no checking for CET support... yes yes no yes checking for locale.h... yes yes no checking for an ANSI C-conforming const... checking for sys/sysinfo.h... checking for fread_unlocked... checking for fread_unlocked... checking for alloca.h... yes checking nl_types.h usability... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking for inline... yes checking for machine/hal_sysinfo.h... yes yes checking for sys/pstat.h... inline checking for obstacks... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking for fwrite_unlocked... no yes yes checking nl_types.h presence... checking for sys/table.h... no checking for sys/sysmp.h... checking for fwrite_unlocked... yes checking for nl_types.h... yes yes no checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking for sys/sysctl.h... checking malloc.h usability... yes checking for uint64_t... no checking for sys/sysinfo.h... yes yes no checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... yes checking for off_t... checking for sys/systemcfg.h... checking for getchar_unlocked... yes yes checking for machine/hal_sysinfo.h... yes checking malloc.h presence... no yes checking for _Unwind_GetIPInfo... checking for getchar_unlocked... checking for stdint.h... (cached) yes yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for malloc.h... yes no checking for stdio_ext.h... checking for sys/table.h... yes yes checking stddef.h usability... checking for getc_unlocked... yes yes checking for CET support... no checking for sys/sysctl.h... yes configure: updating cache ./config.cache checking for process.h... yes yes checking for size_t... configure: creating ./config.status yes checking stddef.h presence... checking for getc_unlocked... no no yes checking for stddef.h... yes yes checking for sys/systemcfg.h... configure: updating cache ./config.cache checking for sys/prctl.h... checking that generated files are newer than configure... done configure: creating ./config.status yes checking for stdlib.h... (cached) yes checking for putchar_unlocked... checking for sys/wait.h that is POSIX.1 compatible... no checking for string.h... (cached) yes checking for stdint.h... (cached) yes yes checking for stdio_ext.h... checking for putchar_unlocked... checking for unistd.h... (cached) yes yes checking for ssize_t... yes checking for sys/param.h... (cached) yes yes checking whether time.h and sys/time.h may both be included... yes checking for process.h... checking for feof_unlocked... checking for putc_unlocked... yes checking whether errno must be declared... yes no checking for sys/prctl.h... checking for putc_unlocked... yes checking __sync extensions... yes no yes checking size of int... checking for uintptr_t... yes yes checking for sys/wait.h that is POSIX.1 compatible... checking for fgets_unlocked... config.status: creating Makefile checking whether abort is declared... yes yes checking whether time.h and sys/time.h may both be included... config.status: creating config.h yes checking __atomic extensions... config.status: executing gstdint.h commands checking whether abort is declared... yes 4 yes checking whether errno must be declared... yes checking for ptrdiff_t... checking size of long... yes checking for getc_unlocked... yes checking output filetype... checking whether asprintf is declared... yes no checking size of int... elf64 checking whether asprintf is declared... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking whether basename is declared... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decNumber.c source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decContext.c source='../../src/libdecnumber/bid/decimal32.c' object='decimal32.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal32.c 8 source='../../src/libdecnumber/bid/decimal64.c' object='decimal64.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal64.c checking size of size_t... source='../../src/libdecnumber/bid/decimal128.c' object='decimal128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal128.c checking for getcwd... source='../../src/libdecnumber/bid/bid2dpd_dpd2bid.c' object='bid2dpd_dpd2bid.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/bid2dpd_dpd2bid.c source='../../src/libdecnumber/bid/host-ieee32.c' object='host-ieee32.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee32.c yes source='../../src/libdecnumber/bid/host-ieee64.c' object='host-ieee64.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee64.c 4 yes checking for uint64_t... checking size of long... checking whether basename is declared... yes checking for uintptr_t... yes source='../../src/libdecnumber/bid/host-ieee128.c' object='host-ieee128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee128.c checking whether errno is declared... yes 8 checking for long long... checking for getegid... yes yes checking whether struct tm is in sys/time.h or time.h... checking whether errno is declared... yes checking for int_least32_t... no time.h checking size of int... yes 8 checking whether vasprintf is declared... checking size of size_t... checking for geteuid... no checking whether vasprintf is declared... yes checking size of long long... yes checking for int_fast32_t... yes yes checking whether clearerr_unlocked is declared... checking for getgid... 4 checking size of long... yes checking whether clearerr_unlocked is declared... 8 8 checking for long long... checking for a 64-bit type... yes checking for uint64_t... yes yes checking whether feof_unlocked is declared... uint64_t checking for getuid... checking for intptr_t... 8 yes checking for clearerr_unlocked... checking whether feof_unlocked is declared... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes checking whether ferror_unlocked is declared... yes yes yes yes checking size of long long... checking for mempcpy... checking whether ferror_unlocked is declared... checking for feof_unlocked... yes checking sys/mman.h presence... yes checking for uintptr_t... yes yes checking for sys/mman.h... yes checking for mmap... checking whether fflush_unlocked is declared... yes yes yes checking whether fflush_unlocked is declared... checking for munmap... checking for ferror_unlocked... yes 8 checking for a 64-bit type... yes checking link.h usability... checking whether fgetc_unlocked is declared... uint64_t yes checking for ssize_t... checking for intptr_t... yes yes checking whether fgetc_unlocked is declared... yes checking for putenv... yes checking link.h presence... checking for fflush_unlocked... yes checking whether fgets_unlocked is declared... yes checking for link.h... yes checking for dl_iterate_phdr... yes yes checking whether fgets_unlocked is declared... checking for setenv... yes yes checking mach-o/dyld.h usability... yes yes checking for pid_t... checking whether fileno_unlocked is declared... yes checking for uintptr_t... yes checking for fgetc_unlocked... checking whether fileno_unlocked is declared... yes checking for setlocale... no checking mach-o/dyld.h presence... yes yes checking whether fprintf_unlocked is declared... yes yes checking for library containing strerror... no checking for mach-o/dyld.h... no checking sys/ldr.h usability... checking whether fprintf_unlocked is declared... checking for fgets_unlocked... yes checking for stpcpy... no yes checking for ssize_t... no no checking sys/ldr.h presence... none required checking whether fputc_unlocked is declared... checking whether fputc_unlocked is declared... yes checking for asprintf... no checking for sys/ldr.h... no checking for fcntl... yes checking for fileno_unlocked... yes checking for strcasecmp... checking whether fputs_unlocked is declared... yes yes checking for atexit... checking whether fputs_unlocked is declared... yes yes config.status: creating Makefile yes checking for pid_t... checking whether strnlen is declared... checking for fprintf_unlocked... yes checking whether fread_unlocked is declared... yes config.status: creating config.h yes yes checking for strdup... checking for basename... config.status: executing depfiles commands yes checking whether fread_unlocked is declared... no yes checking whether getpagesize is declared... checking for fputc_unlocked... checking whether fwrite_unlocked is declared... config.status: executing libtool commands yes checking for library containing strerror... yes yes yes checking for strtoul... yes checking for bcmp... checking whether fwrite_unlocked is declared... yes yes checking for lstat... none required checking for fputs_unlocked... checking whether getchar_unlocked is declared... checking for asprintf... yes yes checking for tsearch... yes yes checking whether getchar_unlocked is declared... yes yes checking for readlink... checking for bcopy... checking for atexit... checking whether getc_unlocked is declared... yes yes checking for fread_unlocked... yes checking whether getc_unlocked is declared... checking for __argz_count... yes yes yes yes checking for getexecname... checking whether putchar_unlocked is declared... checking for bsearch... checking for basename... yes checking for fwrite_unlocked... yes yes checking whether putchar_unlocked is declared... yes yes checking for __argz_stringify... yes no checking for KERN_PROC... checking whether putc_unlocked is declared... checking for bzero... checking for bcmp... yes yes no checking for KERN_PROG_ARGS... checking for getchar_unlocked... yes yes checking whether putc_unlocked is declared... checking for an ANSI C-conforming const... checking for __argz_next... yes no checking for clock_gettime... yes checking sys/mman.h usability... yes checking for calloc... checking for bcopy... yes yes checking for getc_unlocked... checking for an ANSI C-conforming const... yes checking sys/mman.h presence... yes yes checking whether -pthread is supported... yes checking for sys/mman.h... yes checking for mmap... checking for __fsetlocking... yes checking sys/mman.h usability... yes yes yes checking whether -gdwarf-5 is supported... checking for bsearch... yes checking for clock... checking for putchar_unlocked... yes checking sys/mman.h presence... yes yes checking for compress in -lz... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... checking for iconv... yes checking for sys/mman.h... yes checking for mmap... yes yes checking for ffs... checking for bzero... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes yes checking whether --compress-debug-sections is supported... checking for putc_unlocked... configure: updating cache ./config.cache yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... configure: creating ./config.status yes checking for iconv declaration... yes checking for getcwd... yes yes checking for objcopy... objcopy checking for readelf... x86_64-linux-gnu-readelf checking whether objcopy supports debuglink... yes checking whether mmap with MAP_ANON(YMOUS) works... yes yes checking for dsymutil... checking whether to enable maintainer-specific portions of Makefiles... no dsymutil checking for nm... x86_64-linux-gnu-nm checking for xz... xz checking for comm... comm checking for lzma_auto_decoder in -llzma... checking for calloc... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for nl_langinfo and CODESET... yes checking whether abort is declared... configure: updating cache ./config.cache yes configure: creating ./config.status yes checking for getpagesize... no checking whether tests can run... yes checking for LC_MESSAGES... checking for clock... yes checking whether asprintf is declared... yes yes yes checking for bison... no checking whether NLS is requested... yes checking whether included gettext is requested... no checking for GNU gettext in libc... yes checking for gettimeofday... checking for ffs... configure: updating cache ./config.cache yes checking that generated files are newer than configure... done configure: creating ./config.status config.status: creating Makefile checking whether basename is declared... yes checking whether to use NLS... yes checking where the gettext function comes from... libc yes checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader config.status: creating mkheaders.almost yes checking for index... yes checking for getcwd... config.status: creating config.h configure: updating cache ./config.cache checking whether errno is declared... configure: creating ./config.status config.status: creating Makefile no yes config.status: creating mkheaders.almost yes checking whether getopt is declared... checking for getpagesize... checking for insque... config.status: creating config.h yes yes yes checking whether vasprintf is declared... checking for memchr... checking for gettimeofday... yes checking whether clearerr_unlocked is declared... yes yes checking for memcmp... config.status: creating Makefile yes checking for index... checking whether feof_unlocked is declared... config.status: creating config.intl yes yes checking for memcpy... config.status: creating config.h yes rm -f libdecnumber.a x86_64-linux-gnu-ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o bid2dpd_dpd2bid.o host-ieee32.o host-ieee64.o host-ieee128.o x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') checking for insque... checking whether ferror_unlocked is declared... config.status: executing default-1 commands x86_64-linux-gnu-ranlib libdecnumber.a make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' yes yes yes checking whether fflush_unlocked is declared... checking for memchr... checking for memmem... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' yes yes checking whether fgetc_unlocked is declared... checking for memcmp... yes checking for memmove... yes yes checking for memcpy... checking whether fgets_unlocked is declared... yes checking for mempcpy... yes checking whether fileno_unlocked is declared... yes checking for memmem... yes yes checking whether fprintf_unlocked is declared... checking for memset... yes checking for memmove... no checking whether fputc_unlocked is declared... yes checking for mkstemps... yes yes checking for mempcpy... checking whether fputs_unlocked is declared... yes checking for putenv... yes yes checking whether fread_unlocked is declared... checking for memset... yes checking for random... yes yes checking whether fwrite_unlocked is declared... checking for mkstemps... yes checking for rename... yes checking whether getchar_unlocked is declared... yes checking for putenv... yes yes checking whether getc_unlocked is declared... checking for rindex... yes yes checking for random... checking whether putchar_unlocked is declared... yes yes checking for setenv... yes checking whether putc_unlocked is declared... config.status: creating Makefile checking for rename... config.status: creating backtrace-supported.h yes checking for working alloca.h... yes checking for snprintf... config.status: creating install-debuginfo-for-buildid.sh yes checking for rindex... config.status: creating config.h yes checking for alloca... yes config.status: executing libtool commands checking for sigsetmask... yes yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... config.status: executing gstdint.h commands checking for setenv... config.status: executing default commands yes yes checking whether NLS is requested... no checking for uchar... checking for stpcpy... yes checking for snprintf... checking size of ino_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c yes true DO=all multi-do # /usr/bin/make /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c checking for stpncpy... /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c yes libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o checking for sigsetmask... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o 8 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o checking size of dev_t... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 yes libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 checking for strcasecmp... yes libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 checking for stpcpy... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 8 checking for ld used by GCC... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... yes libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 yes done checking for iconv... (cached) no checking for strchr... checking for stpncpy... checking for CET support... yes yes checking for strcasecmp... checking for strdup... yes yes checking for strchr... checking for strncasecmp... yes yes yes checking for strdup... configure: updating cache ./config.cache checking for strndup... configure: creating ./config.status yes checking for strncasecmp... yes checking for strnlen... yes checking for strndup... yes checking for strrchr... yes checking for strnlen... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 config.status: creating Makefile yes config.status: creating config.h checking for strstr... config.status: executing depdir commands yes mkdir -p -- .deps checking for strrchr... yes yes checking for strtod... checking for strstr... yes yes checking for strtol... checking for strtod... yes checking for strtoul... yes checking for strtol... yes libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 yes checking for strtoll... checking for strtoul... yes yes checking for strtoull... checking for strtoll... yes checking for strverscmp... yes checking for strtoull... yes checking for tmpnam... yes checking for strverscmp... yes yes checking for vasprintf... checking for tmpnam... yes yes checking for vfprintf... checking for vasprintf... yes yes checking for vprintf... checking for vfprintf... yes checking for vsnprintf... yes checking for vprintf... yes checking for vsprintf... yes checking for vsnprintf... yes checking for waitpid... yes yes checking for vsprintf... checking for setproctitle... yes no checking whether alloca needs Cray hooks... checking for waitpid... no checking stack direction for C alloca... yes checking for setproctitle... 1 checking for vfork.h... no checking whether alloca needs Cray hooks... no checking for fork... no checking stack direction for C alloca... /bin/sh ./libtool --tag=CC --mode=link x86_64-linux-gnu-gcc-12 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo 1 checking for vfork.h... yes libtool: link: x86_64-linux-gnu-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o no checking for vfork... checking for fork... libtool: link: x86_64-linux-gnu-ranlib .libs/libbacktrace.a yes libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' checking for working fork... yes checking for vfork... yes checking for working vfork... (cached) yes checking for _doprnt... yes checking for working fork... no checking for sys_errlist... yes checking for working vfork... (cached) yes checking for _doprnt... no checking for sys_nerr... no checking for sys_errlist... no checking for sys_siglist... no checking for sys_nerr... no checking for external symbol _system_configuration... no checking for __fsetlocking... no checking for sys_siglist... yes no checking for external symbol _system_configuration... checking for canonicalize_file_name... no checking for __fsetlocking... yes checking for dup3... yes checking for canonicalize_file_name... yes checking for getrlimit... yes checking for dup3... yes checking for getrusage... yes checking for getrlimit... yes yes checking for getrusage... checking for getsysinfo... yes no checking for gettimeofday... checking for getsysinfo... (cached) yes checking for on_exit... no checking for gettimeofday... (cached) yes yes checking for on_exit... checking for pipe2... yes checking for pipe2... yes checking for psignal... yes checking for psignal... yes checking for pstat_getdynamic... yes checking for pstat_getdynamic... no checking for pstat_getstatic... no checking for pstat_getstatic... no checking for realpath... no checking for realpath... yes checking for setrlimit... yes checking for setrlimit... yes checking for spawnve... yes checking for spawnve... no checking for spawnvpe... no checking for spawnvpe... no checking for strerror... no checking for strerror... yes yes checking for strsignal... checking for strsignal... yes yes checking for sysconf... checking for sysconf... yes yes checking for sysctl... checking for sysctl... no checking for sysmp... no checking for sysmp... no no checking for table... checking for table... no checking for times... no checking for times... yes yes checking for wait3... checking for wait3... yes yes checking for wait4... checking for wait4... yes yes checking for sbrk... checking for sbrk... yes yes checking whether basename is declared... checking whether basename is declared... yes checking whether ffs is declared... yes checking whether ffs is declared... yes yes checking whether asprintf is declared... checking whether asprintf is declared... yes checking whether vasprintf is declared... yes checking whether vasprintf is declared... yes checking whether snprintf is declared... yes checking whether snprintf is declared... yes yes checking whether vsnprintf is declared... checking whether vsnprintf is declared... yes yes checking whether calloc is declared... checking whether calloc is declared... yes yes checking whether getenv is declared... checking whether getenv is declared... yes yes checking whether getopt is declared... checking whether getopt is declared... yes checking whether malloc is declared... yes yes checking whether malloc is declared... checking whether realloc is declared... yes yes checking whether sbrk is declared... checking whether realloc is declared... yes yes checking whether strtol is declared... checking whether sbrk is declared... yes yes checking whether strtoul is declared... checking whether strtol is declared... yes checking whether strtoll is declared... yes checking whether strtoul is declared... yes checking whether strtoull is declared... yes checking whether strtoll is declared... yes checking whether strverscmp is declared... yes checking whether strtoull is declared... yes checking whether strnlen is declared... yes checking whether strverscmp is declared... yes checking whether canonicalize_file_name must be declared... yes checking whether strnlen is declared... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes yes checking for sys/param.h... (cached) yes checking whether canonicalize_file_name must be declared... checking for getpagesize... (cached) yes checking for working mmap... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes yes checking for sys/param.h... (cached) yes checking for working strncmp... checking for getpagesize... (cached) yes checking for working mmap... yes configure: updating cache ./config.cache yes checking for working strncmp... configure: creating ./config.status yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile config.status: creating testsuite/Makefile config.status: creating config.h config.status: executing default commands config.status: creating Makefile config.status: creating testsuite/Makefile make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-picdir touch stamp-noasandir config.status: creating config.h if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi config.status: executing default commands x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o fibheap.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filename_cmp.c -o filename_cmp.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o floatformat.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o getpwd.o mkdir -p -- ./libcpp if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o getruntime.o Configuring in ./libcpp if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hashtab.c -o hashtab.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' if [ x"-fpic" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hex.c -o hex.o if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi touch stamp-picdir if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi touch stamp-noasandir if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o lbasename.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fnmatch.c -o fnmatch.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o obstack.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filedescriptor.c -o filedescriptor.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filename_cmp.c -o filename_cmp.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o partition.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o physmem.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dyn-string.c -o dyn-string.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o pex-one.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fibheap.c -o fibheap.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/floatformat.c -o floatformat.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getpwd.c -o getpwd.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o rust-demangle.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o safe-ctype.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getruntime.c -o getruntime.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hex.c -o hex.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lbasename.c -o lbasename.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/physmem.c -o physmem.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/obstack.c -o obstack.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o sort.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/partition.c -o partition.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-one.c -o pex-one.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o spaces.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hashtab.c -o hashtab.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o strsignal.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o xatexit.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi configure: creating cache ./config.cache x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o xmemdup.o checking build system type... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o xstrdup.o x86_64-pc-linux-gnu checking host system type... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi x86_64-pc-linux-gnu checking target system type... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sort.c -o sort.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o xstrerror.o x86_64-pc-linux-gnu checking whether /usr/bin/make sets $(MAKE)... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/spaces.c -o spaces.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o xstrndup.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi yes checking for a BSD-compatible install... /usr/bin/install -c checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o setproctitle.o echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o regex.o make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o cp-demangle.o checking whether the C compiler works... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strerror.c -o strerror.o yes checking for C compiler default output file name... a.out checking for suffix of executables... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strsignal.c -o strsignal.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi checking whether we are cross compiling... x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o md5.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o sha1.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi no x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o checking for suffix of object files... if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmemdup.c -o xmemdup.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/rust-demangle.c -o rust-demangle.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi o checking whether we are using the GNU C compiler... x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmalloc.c -o xmalloc.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o bsearch_r.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrndup.c -o xstrndup.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o choose-temp.o yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o concat.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi none needed if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o cp-demint.o checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o crc32.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o d-demangle.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi yes checking whether x86_64-linux-gnu-g++-12 accepts -g... if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi yes checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking how to run the C preprocessor... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/bsearch_r.c -o bsearch_r.o x86_64-linux-gnu-gcc-12 -E if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/md5.c -o md5.o if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi checking for grep that handles long lines and -e... if [ x"-fpic" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/concat.c -o concat.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sha1.c -o sha1.o yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/d-demangle.c -o d-demangle.o yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether x86_64-linux-gnu-gcc-12 supports -W... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wnarrowing... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demangle.c -o cp-demangle.o yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... yes rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o x86_64-linux-gnu-ranlib ./libiberty.a checking dependency style of x86_64-linux-gnu-g++-12... if [ x"" != x ]; then \ cd pic; \ x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ x86_64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ x86_64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' gcc3 checking whether time.h and sys/time.h may both be included... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c ../../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/regex.c -o regex.o yes checking whether string.h and strings.h may both be included... x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c yes checking locale.h usability... x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c srcdir="../../../src/fixincludes" /bin/sh ../../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc yes checking locale.h presence... yes checking for locale.h... yes checking fcntl.h usability... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc yes checking fcntl.h presence... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc yes checking for fcntl.h... yes checking limits.h usability... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc x86_64-linux-gnu-gcc-12 -g -O2 -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a yes checking limits.h presence... yes checking for limits.h... yes echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' checking stddef.h usability... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... yes checking sys/file.h presence... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... no checking for an ANSI C-conforming const... yes checking for inline... inline checking for obstacks... yes checking for off_t... yes checking for size_t... yes checking for ssize_t... yes checking for uintptr_t... yes checking for ptrdiff_t... yes checking for uint64_t... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o rm -f libcpp.a x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') x86_64-linux-gnu-ranlib libcpp.a yes checking whether struct tm is in sys/time.h or time.h... x86_64-linux-gnu-ranlib ./libiberty.a make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' time.h checking size of int... if [ x"-fpic" != x ]; then \ cd pic; \ x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ x86_64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ x86_64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi 4 checking size of long... make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c /bin/sh ./libtool --tag=CC --tag=disable-static --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c srcdir="../../src/fixincludes" /bin/sh ../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders 8 checking for clearerr_unlocked... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking for fflush_unlocked... yes x86_64-linux-gnu-gcc-12 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a checking for fgetc_unlocked... echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' yes checking for fgets_unlocked... yes /bin/sh ./libtool --tag=CC --tag=disable-static --mode=link x86_64-linux-gnu-gcc-12 -Wall -fcf-protection -g -O2 -Wc,-static-libgcc -module -avoid-version -bindir /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a checking for fileno_unlocked... yes libtool: link: x86_64-linux-gnu-gcc-12 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so checking for fprintf_unlocked... libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) mkdir -p -- ../gcc no libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so checking for fputc_unlocked... libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' Configuring in ./gcc yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... yes checking whether abort is declared... yes checking whether asprintf is declared... configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... yes x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking whether basename is declared... checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default dsymutil was specified... no checking whether a default assembler was specified... no checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 yes checking whether the C compiler works... checking whether errno is declared... yes checking for C compiler default output file name... a.out checking for suffix of executables... no checking whether getopt is declared... checking whether we are cross compiling... yes checking whether vasprintf is declared... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether clearerr_unlocked is declared... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes checking whether feof_unlocked is declared... none needed yes checking whether we are using the GNU C++ compiler... checking whether ferror_unlocked is declared... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... yes checking for x86_64-linux-gnu-gnatbind... x86_64-linux-gnu-gnatbind checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j16 -R -eS checking whether compiler driver understands Ada... yes checking whether fflush_unlocked is declared... yes checking for x86_64-linux-gnu-gdc... no checking whether the D compiler works... no checking how to run the C++ preprocessor... yes checking whether fgetc_unlocked is declared... x86_64-linux-gnu-g++-12 -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... yes checking for sys/types.h... no yes checking whether fputc_unlocked is declared... checking for sys/stat.h... yes yes checking whether fputs_unlocked is declared... checking for stdlib.h... yes yes checking whether fread_unlocked is declared... checking for string.h... yes yes checking for memory.h... checking whether fwrite_unlocked is declared... yes yes checking for strings.h... checking whether getchar_unlocked is declared... yes yes checking for inttypes.h... checking whether getc_unlocked is declared... yes yes checking for stdint.h... checking whether putchar_unlocked is declared... yes checking for unistd.h... yes checking whether putc_unlocked is declared... yes checking minix/config.h usability... yes checking for working alloca.h... no checking minix/config.h presence... yes checking for alloca... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking how to run the C preprocessor... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... x86_64-linux-gnu-gcc-12 -E yes checking whether NLS is requested... yes checking for catalogs to be installed... checking for inline... inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of ino_t... 8 checking size of dev_t... 8 checking size of void *... 8 checking size of short... 2 checking size of int... be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW checking for uchar... checking size of ino_t... 4 checking size of long... 8 checking size of dev_t... 8 checking for long long... 8 checking for ld used by GCC... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking size of long long... yes checking for iconv declaration... 8 checking for int8_t... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for CET support... yes checking for int16_t... yes checking for int32_t... yes configure: updating cache ./config.cache configure: creating ./config.status yes checking for int64_t... yes checking for unsigned long long int... yes checking for long long int... config.status: creating Makefile yes checking for intmax_t... config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps yes checking for intptr_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../src/libcpp/../move-if-change localedir.new localedir.h x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc echo timestamp > localedir.hs x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc yes checking for uint8_t... x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc yes checking for uint16_t... yes checking for uint32_t... yes checking for uint64_t... yes checking for uintmax_t... yes checking for uintptr_t... yes checking for int64_t underlying type... long checking for std::swap in ... yes checking whether x86_64-linux-gnu-g++-12 is affected by placement new aliasing bug... no checking whether x86_64-linux-gnu-g++-12 supports -W... yes checking whether x86_64-linux-gnu-g++-12 supports -Wall... yes checking whether x86_64-linux-gnu-g++-12 supports -Wnarrowing... yes checking whether x86_64-linux-gnu-g++-12 supports -Wwrite-strings... yes checking whether x86_64-linux-gnu-g++-12 supports -Wcast-qual... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking whether x86_64-linux-gnu-g++-12 supports -Wmissing-format-attribute... yes checking whether x86_64-linux-gnu-g++-12 supports -Woverloaded-virtual... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes checking whether x86_64-linux-gnu-g++-12 supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... yes checking whether x86_64-linux-gnu-gcc-12 supports -fasynchronous-unwind-tables... yes checking valgrind.h usability... no checking valgrind.h presence... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... no checking for multiarch configuration... yes configure: WARNING: fixed-point is not supported for this target, ignored checking whether /usr/bin/make sets $(MAKE)... yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... makeinfo --split-size=5000000 checking for modern makeinfo... yes checking for recent Pod::Man... yes checking for flex... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/missing flex checking for bison... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/missing bison checking for nm... x86_64-linux-gnu-nm checking for ar... x86_64-linux-gnu-ar checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... yes rm -f libcpp.a x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') checking for stddef.h... x86_64-linux-gnu-ranlib libcpp.a yes make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' checking for string.h... (cached) yes checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes checking for time.h... yes checking for iconv.h... yes checking for fcntl.h... yes checking for ftw.h... yes checking for unistd.h... (cached) yes checking for sys/file.h... yes checking for sys/time.h... yes checking for sys/mman.h... yes checking for sys/resource.h... yes checking for sys/param.h... yes checking for sys/times.h... yes checking for sys/stat.h... (cached) yes checking for sys/locking.h... no checking for sys/auxv.h... yes checking for direct.h... no checking for malloc.h... yes checking for langinfo.h... yes checking for ldfcn.h... no checking for locale.h... yes checking for wchar.h... yes checking for thread.h... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... no checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -E checking for unordered_map... yes checking for tr1/unordered_map... yes checking for ext/hash_map... yes checking dependency style of x86_64-linux-gnu-g++-12... gcc3 checking for collect2 libraries... none required checking for library containing exc_resume... no checking for library containing kstat_open... no checking for library containing gethostbyname... none required checking for library containing socket... none required checking for library containing ldexp... none required checking for library containing dlopen... none required checking for inttypes.h... yes checking for zstd.h... no checking for library containing ZSTD_compress... no checking for times... yes checking for clock... yes checking for kill... yes checking for getrlimit... yes checking for setrlimit... yes checking for atoq... no checking for popen... yes checking for sysconf... yes checking for strsignal... yes checking for getrusage... yes checking for nl_langinfo... yes checking for gettimeofday... yes checking for mbstowcs... yes checking for wcswidth... yes checking for mmap... yes checking for posix_fallocate... yes checking for setlocale... yes checking for clearerr_unlocked... yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking for fflush_unlocked... yes checking for fgetc_unlocked... yes checking for fgets_unlocked... yes checking for fileno_unlocked... yes checking for fprintf_unlocked... no checking for fputc_unlocked... yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... yes checking for madvise... yes checking for mallinfo... yes checking for mallinfo2... yes checking for fstatat... yes checking whether mbstowcs works... yes checking for ssize_t... yes checking for caddr_t... yes checking for sighander_t... no checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... yes checking for vfork.h... no checking for fork... yes checking for vfork... yes checking for working fork... yes checking for working vfork... (cached) yes checking for ld used by GCC... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for LC_MESSAGES... yes checking for nl_langinfo and CODESET... yes checking whether basename is declared... yes checking whether strstr is declared... yes checking whether getenv is declared... yes checking whether atol is declared... yes checking whether atoll is declared... yes checking whether asprintf is declared... yes checking whether sbrk is declared... yes checking whether abort is declared... yes checking whether atof is declared... yes checking whether getcwd is declared... yes checking whether getwd is declared... yes checking whether madvise is declared... yes checking whether stpcpy is declared... yes checking whether strnlen is declared... yes checking whether strsignal is declared... yes checking whether strverscmp is declared... yes checking whether strtol is declared... yes checking whether strtoul is declared... yes checking whether strtoll is declared... yes checking whether strtoull is declared... yes checking whether setenv is declared... yes checking whether unsetenv is declared... yes checking whether errno is declared... yes checking whether snprintf is declared... yes checking whether vsnprintf is declared... yes checking whether vasprintf is declared... yes checking whether malloc is declared... yes checking whether realloc is declared... yes checking whether calloc is declared... yes checking whether free is declared... yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... yes checking whether getrusage is declared... yes checking whether mallinfo is declared... yes checking whether mallinfo2 is declared... yes checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... yes checking for struct tms... yes checking for clock_t... yes checking for F_SETLKW... yes checking for O_CLOEXEC... yes checking for AF_UNIX... yes checking for AF_INET6... yes checking for _LK_LOCK... no checking if mkdir takes one argument... no Using `../../src/gcc/config/i386/i386.cc' for machine-specific logic. Using `../../src/gcc/config/i386/i386.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/linux.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking whether NLS is requested... yes checking for catalogs to be installed... be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by x86_64-linux-gnu-gcc-12... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm checking the name lister (x86_64-linux-gnu-nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for x86_64-linux-gnu-ld option to reload object files... -r checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... (cached) x86_64-linux-gnu-ar checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... (cached) x86_64-linux-gnu-ranlib checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... ok checking for dlfcn.h... yes checking for objdir... .libs checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... no checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes checking if x86_64-linux-gnu-gcc-12 static flag -static works... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -E checking for ld used by x86_64-linux-gnu-g++-12... x86_64-linux-gnu-ld -m elf_x86_64 checking if the linker (x86_64-linux-gnu-ld -m elf_x86_64) is GNU ld... yes checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking for x86_64-linux-gnu-g++-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-g++-12 PIC flag -fPIC -DPIC works... yes checking if x86_64-linux-gnu-g++-12 static flag -static works... yes checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... yes checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for x86_64-linux-gnu-as... /usr/bin/x86_64-linux-gnu-as checking for x86_64-linux-gnu-ld... /usr/bin/x86_64-linux-gnu-ld checking whether we are using gold... no checking whether we are using mold... no checking gold linker with split stack support as non default... no checking what linker to use... /usr/bin/x86_64-linux-gnu-ld checking for x86_64-linux-gnu-nm... /usr/bin/x86_64-linux-gnu-nm checking what nm to use... /usr/bin/x86_64-linux-gnu-nm checking for x86_64-linux-gnu-objdump... /usr/bin/x86_64-linux-gnu-objdump checking what objdump to use... /usr/bin/x86_64-linux-gnu-objdump checking for x86_64-linux-gnu-readelf... /usr/bin/x86_64-linux-gnu-readelf checking what readelf to use... /usr/bin/x86_64-linux-gnu-readelf checking for otool... no checking what otool to use... not found checking for dsymutil... /usr/bin/dsymutil checking assembler flags... --64 checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... (cached) yes checking assembler for .sleb128 and .uleb128... yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section 'R' flag... yes checking assembler for section 'o' flag... yes checking assembler for section merging support... yes checking assembler for stabs directive... yes checking assembler for COMDAT group support (GNU as)... yes checking assembler for line table is_stmt support... yes checking assembler for line table discriminator support... yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 2 checking assembler for -xbrace_comment... no checking assembler for filds and fists mnemonics... yes checking assembler for fildq and fistpq mnemonics... yes checking assembler for cmov syntax... no checking assembler for ffreep mnemonic... yes checking assembler for .quad directive... yes checking assembler for sahf mnemonic... yes checking assembler for interunit movq mnemonic... yes checking assembler for hle prefixes... yes checking assembler for swap suffix... yes checking assembler for different section symbol subtraction... yes checking assembler for rep and lock prefix... yes checking assembler for ud2 mnemonic... yes checking assembler for R_386_TLS_GD_PLT reloc... no checking assembler for R_386_TLS_LDM_PLT reloc... no checking assembler for R_386_TLS_LDM reloc... no checking assembler for R_386_GOT32X reloc... yes checking assembler for GOTOFF in data... yes checking assembler for calling ___tls_get_addr via GOT... yes checking assembler for dwarf2 debug_line support... yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gdwarf-5 option... yes checking assembler for assembly of compiler generated 64-bit .debug_line... yes checking assembler for --gdwarf-4 not refusing compiler generated .debug_line... yes checking assembler for --gdwarf-4 with the APP marker... yes checking assembler for working --gdwarf-4/--gdwarf-5 for all sources... yes checking assembler for --gstabs option... yes checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... no checking for target glibc version... 2.36 checking assembler for tolerance to line number 0... yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... yes checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 3 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no checking whether to serialize linking of multiple front-ends... no Links are now set up to build a native compiler for x86_64-pc-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) none required checking for -fPIC -shared... yes gcc_driver_version: 12 checking for -fno-PIE option... yes checking for -no-pie option... yes checking for CET support... yes checking linker -z bndplt option... no checking linker --push-state/--pop-state options... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating dsymutil config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating m2/config-make config.status: creating m2/www/Makefile config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- m2/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps mkdir -p -- analyzer/.deps mkdir -p -- rtl-ssa/.deps config.status: executing default commands mkdir -p -- ./libcc1 Configuring in ./libcc1 make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh config.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/i386/biarch64.h config/i386/i386.h config/i386/unix.h config/i386/att.h config/dbxelf.h config/elfos.h config/gnu-user.h config/glibc-stdint.h config/i386/x86-64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/linux.h config/linux-android.h config/i386/linux-common.h config/i386/linux64.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \ /bin/sh ../../src/gcc/mkconfig.sh tm.h TARGET_CPU_DEFAULT="" \ HEADERS="config/i386/i386-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh tm_p.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh bconfig.h LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/i386/i386.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/linux-android.opt > tmp-optionlist /bin/sh ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list echo "#define BUILDING_GCC_MAJOR `echo 12.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/i386/i386.h config/i386/i386-protos.h defaults.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh tm_d.h if test yes = yes \ || test -n "m64=../lib:x86_64-linux-gnu m32=../lib32:i386-linux-gnu mx32=../libx32:x86_64-linux-gnux32"; then \ /bin/sh ../../src/gcc/genmultilib \ "m32/m64/mx32" \ " 32 64 x32" \ "" \ "" \ "" \ "" \ "m64=../lib:x86_64-linux-gnu m32=../lib32:i386-linux-gnu mx32=../libx32:x86_64-linux-gnux32" \ "" \ "" \ "" \ "yes" \ > tmp-mlib.h; \ else \ /bin/sh ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "x86_64-linux-gnu" '' no \ > tmp-mlib.h; \ fi echo timestamp > s-gtyp-input echo "#define BUILDING_GCC_MINOR `echo 12.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h rm -f tmp-all-tree.def echo "#define BUILDING_GCC_PATCHLEVEL `echo 12.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h /bin/sh ../../src/gcc/../move-if-change tmp-specs.h specs.h echo '#include "tree.def"' > tmp-all-tree.def echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo timestamp > s-specs echo timestamp > s-bversion echo '#include "c-family/c-common.def"' >> tmp-all-tree.def ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/i386/i386-passes.def > pass-instances.def /bin/sh ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def /bin/sh ../../src/gcc/config/nvptx/gen-omp-device-properties.sh \ "../../src/gcc/config/nvptx" > omp-device-properties-nvptx echo kind: gpu > omp-device-properties-gcn echo timestamp > s-alltree echo arch: gcn >> omp-device-properties-gcn echo "x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc " > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options echo isa: fiji gfx900 gfx906 gfx908 >> omp-device-properties-gcn gawk -f ../../src/gcc/config/i386/i386-builtin-types.awk ../../src/gcc/config/i386/i386-builtin-types.def > tmp-bt.inc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads echo "package Default_Paths is" >> tmp-dpaths.ads /bin/sh ../../src/gcc/../move-if-change tmp-bt.inc i386-builtin-types.inc echo " -- Accept long lines." >> tmp-dpaths.ads echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads echo timestamp > s-i386-bt echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-nm.cc echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads suffix=`expr @"/usr/lib/ghdl/gcc/lib" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/lib"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/x86_64-linux-gnu/12/ghdl1\";" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads rm -f mm_malloc.h echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads cat ../../src/gcc/config/i386/pmm_malloc.h > mm_malloc.h echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/sh ../../src/gcc/mkconfig.sh tconfig.h echo " Inc_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads (echo "@set version-GCC 12.2.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo @set srcdir `echo /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT echo " \".so\";" >> tmp-dpaths.ads echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads if [ -n "(Debian 12.2.0-14) " ]; then \ echo "@set VERSION_PACKAGE (Debian 12.2.0-14) " >> gcc-vers.texiT; \ fi echo "end Default_Paths;" >> tmp-dpaths.ads echo "@set BUGURL @uref{file:///usr/share/doc/gcc-12/README.Bugs}" >> gcc-vers.texiT; \ mv -f gcc-vers.texiT gcc-vers.texi ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads rm -f doc/ghdl.info* echo timestamp > gcc.pod makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod echo timestamp > lto-dump.pod perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-12/README.Bugs}" ../../src/gcc/doc/lto-dump.texi > lto-dump.pod No filename or title make[4]: [Makefile:3505: gcc.pod] Error 255 (ignored) No filename or title make[4]: [Makefile:3495: lto-dump.pod] Error 255 (ignored) x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/sort.o ../../src/gcc/sort.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genhooks.o ../../src/gcc/genhooks.cc rm -f tmp-omp-device-properties.h; \ for kind in kind arch isa; do \ echo 'const char omp_offload_device_'${kind}'[] = ' \ >> tmp-omp-device-properties.h; \ for prop in none nvptx-none=omp-device-properties-nvptx amdgcn-amdhsa=omp-device-properties-gcn; do \ [ "$prop" = "none" ] && continue; \ tgt=`echo "$prop" | sed 's/=.*$//'`; \ props=`echo "$prop" | sed 's/.*=//'`; \ echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \ sed -n 's/^'${kind}': //p' ${props} \ | sed 's/[[:blank:]]/ /g;s/ */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \ >> tmp-omp-device-properties.h; \ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ /bin/sh ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genchecksum.o ../../src/gcc/genchecksum.cc if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cpp.info ../../src/gcc/doc/cpp.texi; \ fi if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gcc.info ../../src/gcc/doc/gcc.texi; \ fi if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gccinstall.info ../../src/gcc/doc/install.texi; \ fi if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cppinternals.info ../../src/gcc/doc/cppinternals.texi; \ fi echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) /bin/sh ../../src/gcc/../move-if-change tmp-mlib.h multilib.h echo timestamp > doc/lto-dump.1 (pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 lto-dump.pod > doc/lto-dump.1.T$$ && \ mv -f doc/lto-dump.1.T$$ doc/lto-dump.1) || \ (rm -f doc/lto-dump.1.T$$ && exit 1) echo timestamp > s-mlib x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmodes.o ../../src/gcc/genmodes.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/errors.o ../../src/gcc/errors.cc configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... echo timestamp > s-omp-device-properties-h x86_64-linux-gnu-g++-12 -c -DBASEVER="\"12.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Debian 12.2.0-14) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genversion.o ../../src/gcc/genversion.cc x86_64-pc-linux-gnu checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 pod2man: unable to format gcc.pod pod2man: unable to format lto-dump.pod make[4]: [Makefile:3483: doc/gcc.1] Error 1 (ignored) x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc make[4]: [Makefile:3482: doc/lto-dump.1] Error 1 (ignored) x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc ../../src/gcc/genhooks.cc: In function 'void emit_documentation(const char*)': ../../src/gcc/genhooks.cc:120:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 120 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:111:17: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 111 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:173:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 173 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:179:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 179 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genchecksum \ build/genchecksum.o ../build-x86_64-linux-gnu/libiberty/libiberty.a install.texi: warning: document without nodes checking whether the C compiler works... cpp.texi: warning: document without nodes /bin/sh ../../src/gcc/../move-if-change tmp-optionlist optionlist echo timestamp > s-options gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc cppinternals.texi: warning: document without nodes x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc \ build/genversion.o -o build/genversion yes checking for C compiler default output file name... a.out x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a checking for suffix of executables... build/genversion > tmp-version.h build/gengenrtl > tmp-genrtl.h /bin/sh ../../src/gcc/../move-if-change tmp-version.h version.h /bin/sh ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-version echo timestamp > s-genrtl-h x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype.o ../../src/gcc/gengtype.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc checking whether we are cross compiling... /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ build/genhooks.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/genhooks "Target Hook" \ > tmp-target-hooks-def.h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h /bin/sh ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/sh ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h echo timestamp > s-target-hooks-def-h /bin/sh ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h echo timestamp > s-common-target-hooks-def-h no build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h checking for suffix of object files... echo timestamp > s-c-target-hooks-def-h /bin/sh ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi echo timestamp > s-d-target-hooks-def-h echo timestamp > s-tm-texi o checking whether we are using the GNU C compiler... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gccint.info ../../src/gcc/doc/gccint.texi; \ fi yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... /bin/sh ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... gccint.texi: warning: document without nodes yes checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ build/genmodes.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/genmodes -h > tmp-modes.h build/genmodes -i > tmp-modes-inline.h build/genmodes -m > tmp-min-modes.cc /bin/sh ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h /bin/sh ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h /bin/sh ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc echo timestamp > s-modes-h echo timestamp > s-modes-inline-h echo timestamp > s-modes-m build/genmodes > tmp-modes.cc /bin/sh ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc yes echo timestamp > s-modes checking for sys/types.h... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/inchash.o ../../src/gcc/inchash.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genenums.o ../../src/gcc/genenums.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmddeps.o ../../src/gcc/genmddeps.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-md.o ../../src/gcc/read-md.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconstants.o ../../src/gcc/genconstants.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/min-insn-modes.o min-insn-modes.cc yes checking for sys/stat.h... yes checking for stdlib.h... ghdl.texi:18: warning: @definfoenclose is obsolete. ghdl.texi:19: warning: @definfoenclose is obsolete. ghdl.texi:6856: warning: @footnote should not appear in @deffn ghdl.texi:6865: warning: @footnote should not appear in @deffn ghdl.texi:6952: warning: @footnote should not appear in @deffn ghdl.texi:6974: warning: @footnote should not appear in @deffn ghdl.texi:6985: warning: @footnote should not appear in @deffn ghdl.texi:7023: warning: @footnote should not appear in @deffn ghdl.texi:7034: warning: @footnote should not appear in @deffn ghdl.texi:7149: warning: @footnote should not appear in @deffn ghdl.texi:7161: warning: @footnote should not appear in @deffn ghdl.texi:7445: warning: @footnote should not appear in @deffn ghdl.texi:7504: warning: @footnote should not appear in @deffn ghdl.texi:7513: warning: @footnote should not appear in @deffn ghdl.texi:7538: warning: @footnote should not appear in @deffn ghdl.texi:7577: warning: @footnote should not appear in @deffn ghdl.texi:7597: warning: @footnote should not appear in @deffn ghdl.texi:7650: warning: @footnote should not appear in @deffn ghdl.texi:7659: warning: @footnote should not appear in @deffn ghdl.texi:7684: warning: @footnote should not appear in @deffn ghdl.texi:7723: warning: @footnote should not appear in @deffn ghdl.texi:7831: warning: @footnote should not appear in @deffn ghdl.texi:7842: warning: @footnote should not appear in @deffn ghdl.texi:7884: warning: @footnote should not appear in @deffn ghdl.texi:7917: warning: @footnote should not appear in @deffn ghdl.texi:7926: warning: @footnote should not appear in @deffn ghdl.texi:7944: warning: @footnote should not appear in @deffn ghdl.texi:7953: warning: @footnote should not appear in @deffn ghdl.texi:7978: warning: @footnote should not appear in @deffn ghdl.texi:7983: warning: @footnote should not appear in @deffn ghdl.texi:7988: warning: @footnote should not appear in @deffn ghdl.texi:8156: warning: @footnote should not appear in @deffn ghdl.texi:8165: warning: @footnote should not appear in @deffn ghdl.texi:8190: warning: @footnote should not appear in @deffn ghdl.texi:8233: warning: @footnote should not appear in @deffn ghdl.texi:8242: warning: @footnote should not appear in @deffn ghdl.texi:8267: warning: @footnote should not appear in @deffn ghdl.texi:8310: warning: @footnote should not appear in @deffn ghdl.texi:8319: warning: @footnote should not appear in @deffn ghdl.texi:8344: warning: @footnote should not appear in @deffn ghdl.texi:8387: warning: @footnote should not appear in @deffn ghdl.texi:8396: warning: @footnote should not appear in @deffn ghdl.texi:8405: warning: @footnote should not appear in @deffn ghdl.texi:8416: warning: @footnote should not appear in @deffn ghdl.texi:8427: warning: @footnote should not appear in @deffn ghdl.texi:8450: warning: @footnote should not appear in @deffn ghdl.texi:8472: warning: @footnote should not appear in @deffn ghdl.texi:8505: warning: @footnote should not appear in @deffn ghdl.texi:8514: warning: @footnote should not appear in @deffn ghdl.texi:8523: warning: @footnote should not appear in @deffn ghdl.texi:8532: warning: @footnote should not appear in @deffn ghdl.texi:8543: warning: @footnote should not appear in @deffn ghdl.texi:8554: warning: @footnote should not appear in @deffn ghdl.texi:8577: warning: @footnote should not appear in @deffn ghdl.texi:8582: warning: @footnote should not appear in @deffn ghdl.texi:8604: warning: @footnote should not appear in @deffn ghdl.texi:8637: warning: @footnote should not appear in @deffn ghdl.texi:8646: warning: @footnote should not appear in @deffn ghdl.texi:8655: warning: @footnote should not appear in @deffn ghdl.texi:8666: warning: @footnote should not appear in @deffn ghdl.texi:8677: warning: @footnote should not appear in @deffn ghdl.texi:8700: warning: @footnote should not appear in @deffn ghdl.texi:8722: warning: @footnote should not appear in @deffn ghdl.texi:8764: warning: @footnote should not appear in @deffn ghdl.texi:8775: warning: @footnote should not appear in @deffn ghdl.texi:8786: warning: @footnote should not appear in @deffn ghdl.texi:8804: warning: @footnote should not appear in @deffn ghdl.texi:8818: warning: @footnote should not appear in @deffn ghdl.texi:8830: warning: @footnote should not appear in @deffn ghdl.texi:8882: warning: @footnote should not appear in @deffn ghdl.texi:8893: warning: @footnote should not appear in @deffn ghdl.texi:8913: warning: @footnote should not appear in @deffn ghdl.texi:8922: warning: @footnote should not appear in @deffn ghdl.texi:8936: warning: @footnote should not appear in @deffn ghdl.texi:8948: warning: @footnote should not appear in @deffn ghdl.texi:9009: warning: @footnote should not appear in @deffn ghdl.texi:9020: warning: @footnote should not appear in @deffn ghdl.texi:9029: warning: @footnote should not appear in @deffn ghdl.texi:9095: warning: @footnote should not appear in @deffn ghdl.texi:9106: warning: @footnote should not appear in @deffn ghdl.texi:9115: warning: @footnote should not appear in @deffn ghdl.texi:9172: warning: @footnote should not appear in @deffn ghdl.texi:9183: warning: @footnote should not appear in @deffn ghdl.texi:9192: warning: @footnote should not appear in @deffn ghdl.texi:9235: warning: @footnote should not appear in @deffn ghdl.texi:9244: warning: @footnote should not appear in @deffn ghdl.texi:9253: warning: @footnote should not appear in @deffn ghdl.texi:9262: warning: @footnote should not appear in @deffn ghdl.texi:9273: warning: @footnote should not appear in @deffn ghdl.texi:9298: warning: @footnote should not appear in @deffn ghdl.texi:9303: warning: @footnote should not appear in @deffn ghdl.texi:9308: warning: @footnote should not appear in @deffn ghdl.texi:9320: warning: @footnote should not appear in @deffn ghdl.texi:9353: warning: @footnote should not appear in @deffn ghdl.texi:9407: warning: @footnote should not appear in @deffn ghdl.texi:9427: warning: @footnote should not appear in @deffn ghdl.texi:9479: warning: @footnote should not appear in @deffn ghdl.texi:9497: warning: @footnote should not appear in @deffn ghdl.texi:9508: warning: @footnote should not appear in @deffn ghdl.texi:9528: warning: @footnote should not appear in @deffn ghdl.texi:9542: warning: @footnote should not appear in @deffn ghdl.texi:9554: warning: @footnote should not appear in @deffn ghdl.texi:9606: warning: @footnote should not appear in @deffn ghdl.texi:9617: warning: @footnote should not appear in @deffn ghdl.texi:9637: warning: @footnote should not appear in @deffn ghdl.texi:9658: warning: @footnote should not appear in @deffn ghdl.texi:9701: warning: @footnote should not appear in @deffn ghdl.texi:9710: warning: @footnote should not appear in @deffn ghdl.texi:9721: warning: @footnote should not appear in @deffn ghdl.texi:9760: warning: @footnote should not appear in @deffn ghdl.texi:9772: warning: @footnote should not appear in @deffn ghdl.texi:9814: warning: @footnote should not appear in @deffn ghdl.texi:9825: warning: @footnote should not appear in @deffn ghdl.texi:9834: warning: @footnote should not appear in @deffn ghdl.texi:9854: warning: @footnote should not appear in @deffn ghdl.texi:9863: warning: @footnote should not appear in @deffn ghdl.texi:9877: warning: @footnote should not appear in @deffn ghdl.texi:9882: warning: @footnote should not appear in @deffn ghdl.texi:9894: warning: @footnote should not appear in @deffn ghdl.texi:9951: warning: @footnote should not appear in @deffn ghdl.texi:10019: warning: @footnote should not appear in @deffn ghdl.texi:10030: warning: @footnote should not appear in @deffn ghdl.texi:10050: warning: @footnote should not appear in @deffn ghdl.texi:10059: warning: @footnote should not appear in @deffn ghdl.texi:10073: warning: @footnote should not appear in @deffn ghdl.texi:10085: warning: @footnote should not appear in @deffn ghdl.texi:10092: warning: @footnote should not appear in @deffn ghdl.texi:10123: warning: @footnote should not appear in @deffn ghdl.texi:10134: warning: @footnote should not appear in @deffn ghdl.texi:10143: warning: @footnote should not appear in @deffn ghdl.texi:10163: warning: @footnote should not appear in @deffn ghdl.texi:10184: warning: @footnote should not appear in @deffn ghdl.texi:10236: warning: @footnote should not appear in @deffn ghdl.texi:10256: warning: @footnote should not appear in @deffn ghdl.texi:10297: warning: @footnote should not appear in @deffn ghdl.texi:10422: warning: @footnote should not appear in @deffn ghdl.texi:10431: warning: @footnote should not appear in @deffn ghdl.texi:10456: warning: @footnote should not appear in @deffn ghdl.texi:10490: warning: @footnote should not appear in @deffn ghdl.texi:10499: warning: @footnote should not appear in @deffn ghdl.texi:10524: warning: @footnote should not appear in @deffn ghdl.texi:10558: warning: @footnote should not appear in @deffn ghdl.texi:10567: warning: @footnote should not appear in @deffn ghdl.texi:10592: warning: @footnote should not appear in @deffn ghdl.texi:10626: warning: @footnote should not appear in @deffn ghdl.texi:10662: warning: @footnote should not appear in @deffn ghdl.texi:10671: warning: @footnote should not appear in @deffn ghdl.texi:10709: warning: @footnote should not appear in @deffn ghdl.texi:10720: warning: @footnote should not appear in @deffn ghdl.texi:10738: warning: @footnote should not appear in @deffn ghdl.texi:10752: warning: @footnote should not appear in @deffn ghdl.texi:10757: warning: @footnote should not appear in @deffn ghdl.texi:10784: warning: @footnote should not appear in @deffn ghdl.texi:10860: warning: @footnote should not appear in @deffn ghdl.texi:10869: warning: @footnote should not appear in @deffn ghdl.texi:10880: warning: @footnote should not appear in @deffn ghdl.texi:10907: warning: @footnote should not appear in @deffn ghdl.texi:10927: warning: @footnote should not appear in @deffn ghdl.texi:10936: warning: @footnote should not appear in @deffn ghdl.texi:10946: warning: @footnote should not appear in @deffn ghdl.texi:10968: warning: @footnote should not appear in @deffn ghdl.texi:11017: warning: @footnote should not appear in @deffn ghdl.texi:11026: warning: @footnote should not appear in @deffn ghdl.texi:11037: warning: @footnote should not appear in @deffn ghdl.texi:11048: warning: @footnote should not appear in @deffn ghdl.texi:11071: warning: @footnote should not appear in @deffn ghdl.texi:11076: warning: @footnote should not appear in @deffn ghdl.texi:11088: warning: @footnote should not appear in @deffn ghdl.texi:11144: warning: @footnote should not appear in @deffn ghdl.texi:11153: warning: @footnote should not appear in @deffn ghdl.texi:11191: warning: @footnote should not appear in @deffn ghdl.texi:11216: warning: @footnote should not appear in @deffn ghdl.texi:11233: warning: @footnote should not appear in @deffn ghdl.texi:11309: warning: @footnote should not appear in @deffn ghdl.texi:11329: warning: @footnote should not appear in @deffn ghdl.texi:11347: warning: @footnote should not appear in @deffn ghdl.texi:11372: warning: @footnote should not appear in @deffn ghdl.texi:11389: warning: @footnote should not appear in @deffn ghdl.texi:11438: warning: @footnote should not appear in @deffn ghdl.texi:11447: warning: @footnote should not appear in @deffn ghdl.texi:11476: warning: @footnote should not appear in @deffn ghdl.texi:11501: warning: @footnote should not appear in @deffn ghdl.texi:11506: warning: @footnote should not appear in @deffn ghdl.texi:11518: warning: @footnote should not appear in @deffn ghdl.texi:11547: warning: @footnote should not appear in @deffn ghdl.texi:11567: warning: @footnote should not appear in @deffn ghdl.texi:11576: warning: @footnote should not appear in @deffn ghdl.texi:11585: warning: @footnote should not appear in @deffn ghdl.texi:11610: warning: @footnote should not appear in @deffn ghdl.texi:11615: warning: @footnote should not appear in @deffn ghdl.texi:11627: warning: @footnote should not appear in @deffn ghdl.texi:11674: warning: @footnote should not appear in @deffn ghdl.texi:11712: warning: @footnote should not appear in @deffn ghdl.texi:11744: warning: @footnote should not appear in @deffn ghdl.texi:12010: warning: @footnote should not appear in @deffn ghdl.texi:12030: warning: @footnote should not appear in @deffn ghdl.texi:12030: warning: @footnote should not appear in @deffn ghdl.texi:12083: warning: @footnote should not appear in @deffn ghdl.texi:12103: warning: @footnote should not appear in @deffn ghdl.texi:12103: warning: @footnote should not appear in @deffn ghdl.texi:12156: warning: @footnote should not appear in @deffn ghdl.texi:12176: warning: @footnote should not appear in @deffn ghdl.texi:12176: warning: @footnote should not appear in @deffn ghdl.texi:12229: warning: @footnote should not appear in @deffn ghdl.texi:12249: warning: @footnote should not appear in @deffn ghdl.texi:12249: warning: @footnote should not appear in @deffn ghdl.texi:12311: warning: @footnote should not appear in @deffn ghdl.texi:12331: warning: @footnote should not appear in @deffn ghdl.texi:12331: warning: @footnote should not appear in @deffn ghdl.texi:12375: warning: @footnote should not appear in @deffn ghdl.texi:12400: warning: @footnote should not appear in @deffn ghdl.texi:12400: warning: @footnote should not appear in @deffn ghdl.texi:12434: warning: @footnote should not appear in @deffn ghdl.texi:12483: warning: @footnote should not appear in @deffn ghdl.texi:12497: warning: @footnote should not appear in @deffn ghdl.texi:12522: warning: @footnote should not appear in @deffn ghdl.texi:12522: warning: @footnote should not appear in @deffn ghdl.texi:12522: warning: @footnote should not appear in @deffn ghdl.texi:12527: warning: @footnote should not appear in @deffn ghdl.texi:12571: warning: @footnote should not appear in @deffn ghdl.texi:12585: warning: @footnote should not appear in @deffn ghdl.texi:12610: warning: @footnote should not appear in @deffn ghdl.texi:12610: warning: @footnote should not appear in @deffn ghdl.texi:12610: warning: @footnote should not appear in @deffn ghdl.texi:12615: warning: @footnote should not appear in @deffn ghdl.texi:12664: warning: @footnote should not appear in @deffn ghdl.texi:12689: warning: @footnote should not appear in @deffn ghdl.texi:12689: warning: @footnote should not appear in @deffn ghdl.texi:12689: warning: @footnote should not appear in @deffn ghdl.texi:12747: warning: @footnote should not appear in @deffn ghdl.texi:12772: warning: @footnote should not appear in @deffn ghdl.texi:12772: warning: @footnote should not appear in @deffn ghdl.texi:12772: warning: @footnote should not appear in @deffn ghdl.texi:12830: warning: @footnote should not appear in @deffn ghdl.texi:12855: warning: @footnote should not appear in @deffn ghdl.texi:12855: warning: @footnote should not appear in @deffn ghdl.texi:12855: warning: @footnote should not appear in @deffn ghdl.texi:12913: warning: @footnote should not appear in @deffn ghdl.texi:12938: warning: @footnote should not appear in @deffn ghdl.texi:12938: warning: @footnote should not appear in @deffn ghdl.texi:12938: warning: @footnote should not appear in @deffn ghdl.texi:12996: warning: @footnote should not appear in @deffn ghdl.texi:13021: warning: @footnote should not appear in @deffn ghdl.texi:13021: warning: @footnote should not appear in @deffn ghdl.texi:13021: warning: @footnote should not appear in @deffn ghdl.texi:13079: warning: @footnote should not appear in @deffn ghdl.texi:13104: warning: @footnote should not appear in @deffn ghdl.texi:13104: warning: @footnote should not appear in @deffn ghdl.texi:13104: warning: @footnote should not appear in @deffn ghdl.texi:13162: warning: @footnote should not appear in @deffn ghdl.texi:13187: warning: @footnote should not appear in @deffn ghdl.texi:13187: warning: @footnote should not appear in @deffn ghdl.texi:13187: warning: @footnote should not appear in @deffn ghdl.texi:13245: warning: @footnote should not appear in @deffn ghdl.texi:13270: warning: @footnote should not appear in @deffn ghdl.texi:13270: warning: @footnote should not appear in @deffn ghdl.texi:13270: warning: @footnote should not appear in @deffn ghdl.texi:13328: warning: @footnote should not appear in @deffn ghdl.texi:13353: warning: @footnote should not appear in @deffn ghdl.texi:13353: warning: @footnote should not appear in @deffn ghdl.texi:13353: warning: @footnote should not appear in @deffn ghdl.texi:13411: warning: @footnote should not appear in @deffn yes ghdl.texi:13436: warning: @footnote should not appear in @deffn ghdl.texi:13436: warning: @footnote should not appear in @deffn ghdl.texi:13436: warning: @footnote should not appear in @deffn ghdl.texi:13494: warning: @footnote should not appear in @deffn ghdl.texi:13519: warning: @footnote should not appear in @deffn ghdl.texi:13519: warning: @footnote should not appear in @deffn ghdl.texi:13519: warning: @footnote should not appear in @deffn ghdl.texi:13577: warning: @footnote should not appear in @deffn ghdl.texi:13602: warning: @footnote should not appear in @deffn ghdl.texi:13602: warning: @footnote should not appear in @deffn ghdl.texi:13602: warning: @footnote should not appear in @deffn ghdl.texi:13660: warning: @footnote should not appear in @deffn ghdl.texi:13685: warning: @footnote should not appear in @deffn ghdl.texi:13685: warning: @footnote should not appear in @deffn ghdl.texi:13685: warning: @footnote should not appear in @deffn ghdl.texi:13743: warning: @footnote should not appear in @deffn ghdl.texi:13768: warning: @footnote should not appear in @deffn ghdl.texi:13768: warning: @footnote should not appear in @deffn ghdl.texi:13768: warning: @footnote should not appear in @deffn ghdl.texi:13826: warning: @footnote should not appear in @deffn ghdl.texi:13851: warning: @footnote should not appear in @deffn ghdl.texi:13851: warning: @footnote should not appear in @deffn ghdl.texi:13851: warning: @footnote should not appear in @deffn ghdl.texi:13909: warning: @footnote should not appear in @deffn ghdl.texi:13934: warning: @footnote should not appear in @deffn ghdl.texi:13934: warning: @footnote should not appear in @deffn ghdl.texi:13934: warning: @footnote should not appear in @deffn ghdl.texi:13992: warning: @footnote should not appear in @deffn ghdl.texi:14017: warning: @footnote should not appear in @deffn ghdl.texi:14017: warning: @footnote should not appear in @deffn ghdl.texi:14017: warning: @footnote should not appear in @deffn ghdl.texi:14075: warning: @footnote should not appear in @deffn ghdl.texi:14100: warning: @footnote should not appear in @deffn ghdl.texi:14100: warning: @footnote should not appear in @deffn ghdl.texi:14100: warning: @footnote should not appear in @deffn ghdl.texi:14158: warning: @footnote should not appear in @deffn ghdl.texi:14183: warning: @footnote should not appear in @deffn ghdl.texi:14183: warning: @footnote should not appear in @deffn ghdl.texi:14183: warning: @footnote should not appear in @deffn ghdl.texi:14241: warning: @footnote should not appear in @deffn ghdl.texi:14266: warning: @footnote should not appear in @deffn ghdl.texi:14266: warning: @footnote should not appear in @deffn ghdl.texi:14266: warning: @footnote should not appear in @deffn ghdl.texi:14324: warning: @footnote should not appear in @deffn ghdl.texi:14349: warning: @footnote should not appear in @deffn ghdl.texi:14349: warning: @footnote should not appear in @deffn ghdl.texi:14349: warning: @footnote should not appear in @deffn ghdl.texi:14407: warning: @footnote should not appear in @deffn ghdl.texi:14432: warning: @footnote should not appear in @deffn ghdl.texi:14432: warning: @footnote should not appear in @deffn ghdl.texi:14432: warning: @footnote should not appear in @deffn ghdl.texi:14490: warning: @footnote should not appear in @deffn ghdl.texi:14515: warning: @footnote should not appear in @deffn ghdl.texi:14515: warning: @footnote should not appear in @deffn ghdl.texi:14515: warning: @footnote should not appear in @deffn ghdl.texi:14573: warning: @footnote should not appear in @deffn ghdl.texi:14598: warning: @footnote should not appear in @deffn ghdl.texi:14598: warning: @footnote should not appear in @deffn ghdl.texi:14598: warning: @footnote should not appear in @deffn ghdl.texi:14656: warning: @footnote should not appear in @deffn ghdl.texi:14681: warning: @footnote should not appear in @deffn ghdl.texi:14681: warning: @footnote should not appear in @deffn ghdl.texi:14681: warning: @footnote should not appear in @deffn ghdl.texi:14739: warning: @footnote should not appear in @deffn ghdl.texi:14764: warning: @footnote should not appear in @deffn ghdl.texi:14764: warning: @footnote should not appear in @deffn ghdl.texi:14764: warning: @footnote should not appear in @deffn ghdl.texi:14822: warning: @footnote should not appear in @deffn ghdl.texi:14847: warning: @footnote should not appear in @deffn ghdl.texi:14847: warning: @footnote should not appear in @deffn ghdl.texi:14847: warning: @footnote should not appear in @deffn ghdl.texi:14905: warning: @footnote should not appear in @deffn ghdl.texi:14930: warning: @footnote should not appear in @deffn ghdl.texi:14930: warning: @footnote should not appear in @deffn ghdl.texi:14930: warning: @footnote should not appear in @deffn ghdl.texi:14988: warning: @footnote should not appear in @deffn ghdl.texi:15013: warning: @footnote should not appear in @deffn ghdl.texi:15013: warning: @footnote should not appear in @deffn ghdl.texi:15013: warning: @footnote should not appear in @deffn ghdl.texi:15071: warning: @footnote should not appear in @deffn ghdl.texi:15096: warning: @footnote should not appear in @deffn ghdl.texi:15096: warning: @footnote should not appear in @deffn ghdl.texi:15096: warning: @footnote should not appear in @deffn ghdl.texi:15154: warning: @footnote should not appear in @deffn ghdl.texi:15179: warning: @footnote should not appear in @deffn ghdl.texi:15179: warning: @footnote should not appear in @deffn ghdl.texi:15179: warning: @footnote should not appear in @deffn ghdl.texi:15237: warning: @footnote should not appear in @deffn ghdl.texi:15262: warning: @footnote should not appear in @deffn ghdl.texi:15262: warning: @footnote should not appear in @deffn ghdl.texi:15262: warning: @footnote should not appear in @deffn ghdl.texi:15329: warning: @footnote should not appear in @deffn ghdl.texi:15402: warning: @footnote should not appear in @deffn ghdl.texi:15422: warning: @footnote should not appear in @deffn ghdl.texi:15436: warning: @footnote should not appear in @deffn ghdl.texi:15474: warning: @footnote should not appear in @deffn ghdl.texi:15494: warning: @footnote should not appear in @deffn ghdl.texi:15508: warning: @footnote should not appear in @deffn ghdl.texi:15546: warning: @footnote should not appear in @deffn ghdl.texi:15555: warning: @footnote should not appear in @deffn ghdl.texi:15580: warning: @footnote should not appear in @deffn ghdl.texi:15704: warning: @footnote should not appear in @deffn ghdl.texi:15715: warning: @footnote should not appear in @deffn ghdl.texi:15724: warning: @footnote should not appear in @deffn ghdl.texi:15744: warning: @footnote should not appear in @deffn ghdl.texi:15744: warning: @footnote should not appear in @deffn ghdl.texi:15770: warning: @footnote should not appear in @deffn ghdl.texi:15813: warning: @footnote should not appear in @deffn ghdl.texi:15824: warning: @footnote should not appear in @deffn ghdl.texi:15856: warning: @footnote should not appear in @deffn ghdl.texi:15938: warning: @footnote should not appear in @deffn ghdl.texi:15947: warning: @footnote should not appear in @deffn ghdl.texi:15958: warning: @footnote should not appear in @deffn ghdl.texi:15967: warning: @footnote should not appear in @deffn ghdl.texi:15976: warning: @footnote should not appear in @deffn ghdl.texi:15996: warning: @footnote should not appear in @deffn ghdl.texi:16005: warning: @footnote should not appear in @deffn ghdl.texi:16042: warning: @footnote should not appear in @deffn ghdl.texi:16084: warning: @footnote should not appear in @deffn ghdl.texi:16093: warning: @footnote should not appear in @deffn ghdl.texi:16104: warning: @footnote should not appear in @deffn ghdl.texi:16113: warning: @footnote should not appear in @deffn ghdl.texi:16122: warning: @footnote should not appear in @deffn ghdl.texi:16142: warning: @footnote should not appear in @deffn ghdl.texi:16151: warning: @footnote should not appear in @deffn ghdl.texi:16165: warning: @footnote should not appear in @deffn ghdl.texi:16190: warning: @footnote should not appear in @deffn ghdl.texi:16202: warning: @footnote should not appear in @deffn ghdl.texi:16244: warning: @footnote should not appear in @deffn ghdl.texi:16255: warning: @footnote should not appear in @deffn ghdl.texi:16264: warning: @footnote should not appear in @deffn ghdl.texi:16284: warning: @footnote should not appear in @deffn ghdl.texi:16284: warning: @footnote should not appear in @deffn ghdl.texi:16310: warning: @footnote should not appear in @deffn ghdl.texi:16362: warning: @footnote should not appear in @deffn ghdl.texi:16373: warning: @footnote should not appear in @deffn ghdl.texi:16382: warning: @footnote should not appear in @deffn ghdl.texi:16402: warning: @footnote should not appear in @deffn ghdl.texi:16402: warning: @footnote should not appear in @deffn ghdl.texi:16428: warning: @footnote should not appear in @deffn ghdl.texi:16480: warning: @footnote should not appear in @deffn ghdl.texi:16491: warning: @footnote should not appear in @deffn ghdl.texi:16500: warning: @footnote should not appear in @deffn ghdl.texi:16520: warning: @footnote should not appear in @deffn ghdl.texi:16520: warning: @footnote should not appear in @deffn ghdl.texi:16546: warning: @footnote should not appear in @deffn ghdl.texi:16598: warning: @footnote should not appear in @deffn ghdl.texi:16609: warning: @footnote should not appear in @deffn ghdl.texi:16618: warning: @footnote should not appear in @deffn ghdl.texi:16638: warning: @footnote should not appear in @deffn ghdl.texi:16638: warning: @footnote should not appear in @deffn ghdl.texi:16664: warning: @footnote should not appear in @deffn ghdl.texi:16707: warning: @footnote should not appear in @deffn ghdl.texi:16718: warning: @footnote should not appear in @deffn ghdl.texi:16738: warning: @footnote should not appear in @deffn ghdl.texi:16738: warning: @footnote should not appear in @deffn ghdl.texi:16764: warning: @footnote should not appear in @deffn ghdl.texi:16866: warning: @footnote should not appear in @deffn ghdl.texi:16924: warning: @footnote should not appear in @deffn ghdl.texi:16944: warning: @footnote should not appear in @deffn ghdl.texi:16958: warning: @footnote should not appear in @deffn ghdl.texi:16996: warning: @footnote should not appear in @deffn ghdl.texi:17016: warning: @footnote should not appear in @deffn ghdl.texi:17030: warning: @footnote should not appear in @deffn ghdl.texi:17068: warning: @footnote should not appear in @deffn ghdl.texi:17088: warning: @footnote should not appear in @deffn ghdl.texi:17102: warning: @footnote should not appear in @deffn ghdl.texi:17140: warning: @footnote should not appear in @deffn ghdl.texi:17160: warning: @footnote should not appear in @deffn ghdl.texi:17169: warning: @footnote should not appear in @deffn ghdl.texi:17183: warning: @footnote should not appear in @deffn ghdl.texi:17188: warning: @footnote should not appear in @deffn ghdl.texi:17226: warning: @footnote should not appear in @deffn ghdl.texi:17246: warning: @footnote should not appear in @deffn ghdl.texi:17255: warning: @footnote should not appear in @deffn ghdl.texi:17269: warning: @footnote should not appear in @deffn ghdl.texi:17274: warning: @footnote should not appear in @deffn ghdl.texi:17312: warning: @footnote should not appear in @deffn ghdl.texi:17332: warning: @footnote should not appear in @deffn ghdl.texi:17346: warning: @footnote should not appear in @deffn ghdl.texi:17384: warning: @footnote should not appear in @deffn ghdl.texi:17404: warning: @footnote should not appear in @deffn ghdl.texi:17418: warning: @footnote should not appear in @deffn ghdl.texi:17499: warning: @footnote should not appear in @deffn ghdl.texi:17510: warning: @footnote should not appear in @deffn ghdl.texi:17542: warning: @footnote should not appear in @deffn ghdl.texi:17635: warning: @footnote should not appear in @deffn ghdl.texi:17644: warning: @footnote should not appear in @deffn ghdl.texi:17662: warning: @footnote should not appear in @deffn ghdl.texi:17671: warning: @footnote should not appear in @deffn ghdl.texi:17685: warning: @footnote should not appear in @deffn ghdl.texi:17726: warning: @footnote should not appear in @deffn ghdl.texi:17735: warning: @footnote should not appear in @deffn ghdl.texi:17753: warning: @footnote should not appear in @deffn ghdl.texi:17762: warning: @footnote should not appear in @deffn ghdl.texi:17781: warning: @footnote should not appear in @deffn ghdl.texi:17813: warning: @footnote should not appear in @deffn ghdl.texi:17822: warning: @footnote should not appear in @deffn ghdl.texi:17849: warning: @footnote should not appear in @deffn ghdl.texi:17858: warning: @footnote should not appear in @deffn ghdl.texi:17877: warning: @footnote should not appear in @deffn ghdl.texi:17909: warning: @footnote should not appear in @deffn ghdl.texi:17918: warning: @footnote should not appear in @deffn ghdl.texi:17936: warning: @footnote should not appear in @deffn ghdl.texi:17945: warning: @footnote should not appear in @deffn ghdl.texi:17959: warning: @footnote should not appear in @deffn ghdl.texi:17991: warning: @footnote should not appear in @deffn ghdl.texi:18000: warning: @footnote should not appear in @deffn ghdl.texi:18018: warning: @footnote should not appear in @deffn ghdl.texi:18027: warning: @footnote should not appear in @deffn ghdl.texi:18041: warning: @footnote should not appear in @deffn ghdl.texi:18073: warning: @footnote should not appear in @deffn ghdl.texi:18082: warning: @footnote should not appear in @deffn ghdl.texi:18100: warning: @footnote should not appear in @deffn ghdl.texi:18109: warning: @footnote should not appear in @deffn ghdl.texi:18123: warning: @footnote should not appear in @deffn ghdl.texi:18155: warning: @footnote should not appear in @deffn ghdl.texi:18164: warning: @footnote should not appear in @deffn ghdl.texi:18182: warning: @footnote should not appear in @deffn ghdl.texi:18191: warning: @footnote should not appear in @deffn ghdl.texi:18205: warning: @footnote should not appear in @deffn ghdl.texi:18237: warning: @footnote should not appear in @deffn ghdl.texi:18246: warning: @footnote should not appear in @deffn ghdl.texi:18264: warning: @footnote should not appear in @deffn ghdl.texi:18273: warning: @footnote should not appear in @deffn ghdl.texi:18287: warning: @footnote should not appear in @deffn ghdl.texi:18390: warning: @footnote should not appear in @deffn ghdl.texi:18410: warning: @footnote should not appear in @deffn ghdl.texi:18410: warning: @footnote should not appear in @deffn ghdl.texi:18421: warning: @footnote should not appear in @deffn ghdl.texi:18432: warning: @footnote should not appear in @deffn ghdl.texi:18465: warning: @footnote should not appear in @deffn ghdl.texi:18465: warning: @footnote should not appear in @deffn ghdl.texi:18476: warning: @footnote should not appear in @deffn ghdl.texi:18487: warning: @footnote should not appear in @deffn ghdl.texi:18498: warning: @footnote should not appear in @deffn ghdl.texi:18509: warning: @footnote should not appear in @deffn ghdl.texi:18520: warning: @footnote should not appear in @deffn ghdl.texi:18531: warning: @footnote should not appear in @deffn ghdl.texi:18542: warning: @footnote should not appear in @deffn ghdl.texi:18602: warning: @footnote should not appear in @deffn ghdl.texi:18631: warning: @footnote should not appear in @deffn ghdl.texi:18641: warning: @footnote should not appear in @deffn ghdl.texi:18646: warning: @footnote should not appear in @deffn ghdl.texi:18656: warning: @footnote should not appear in @deffn ghdl.texi:18667: warning: @footnote should not appear in @deffn ghdl.texi:18678: warning: @footnote should not appear in @deffn ghdl.texi:18689: warning: @footnote should not appear in @deffn ghdl.texi:18700: warning: @footnote should not appear in @deffn ghdl.texi:18711: warning: @footnote should not appear in @deffn ghdl.texi:18722: warning: @footnote should not appear in @deffn ghdl.texi:18733: warning: @footnote should not appear in @deffn ghdl.texi:18742: warning: @footnote should not appear in @deffn ghdl.texi:18826: warning: @footnote should not appear in @deffn ghdl.texi:18835: warning: @footnote should not appear in @deffn ghdl.texi:18935: warning: @footnote should not appear in @deffn ghdl.texi:18946: warning: @footnote should not appear in @deffn ghdl.texi:18966: warning: @footnote should not appear in @deffn ghdl.texi:18966: warning: @footnote should not appear in @deffn ghdl.texi:18992: warning: @footnote should not appear in @deffn ghdl.texi:19030: warning: @footnote should not appear in @deffn ghdl.texi:19039: warning: @footnote should not appear in @deffn ghdl.texi:19050: warning: @footnote should not appear in @deffn ghdl.texi:19070: warning: @footnote should not appear in @deffn ghdl.texi:19070: warning: @footnote should not appear in @deffn ghdl.texi:19084: warning: @footnote should not appear in @deffn ghdl.texi:19101: warning: @footnote should not appear in @deffn ghdl.texi:19143: warning: @footnote should not appear in @deffn ghdl.texi:19154: warning: @footnote should not appear in @deffn ghdl.texi:19174: warning: @footnote should not appear in @deffn ghdl.texi:19174: warning: @footnote should not appear in @deffn ghdl.texi:19200: warning: @footnote should not appear in @deffn ghdl.texi:19238: warning: @footnote should not appear in @deffn ghdl.texi:19249: warning: @footnote should not appear in @deffn ghdl.texi:19269: warning: @footnote should not appear in @deffn ghdl.texi:19269: warning: @footnote should not appear in @deffn ghdl.texi:19295: warning: @footnote should not appear in @deffn ghdl.texi:19337: warning: @footnote should not appear in @deffn ghdl.texi:19348: warning: @footnote should not appear in @deffn ghdl.texi:19368: warning: @footnote should not appear in @deffn ghdl.texi:19368: warning: @footnote should not appear in @deffn ghdl.texi:19394: warning: @footnote should not appear in @deffn ghdl.texi:19432: warning: @footnote should not appear in @deffn ghdl.texi:19443: warning: @footnote should not appear in @deffn ghdl.texi:19463: warning: @footnote should not appear in @deffn ghdl.texi:19463: warning: @footnote should not appear in @deffn ghdl.texi:19489: warning: @footnote should not appear in @deffn ghdl.texi:19576: warning: @footnote should not appear in @deffn ghdl.texi:19596: warning: @footnote should not appear in @deffn ghdl.texi:19628: warning: @footnote should not appear in @deffn ghdl.texi:19657: warning: @footnote should not appear in @deffn ghdl.texi:19677: warning: @footnote should not appear in @deffn ghdl.texi:19709: warning: @footnote should not appear in @deffn ghdl.texi:19738: warning: @footnote should not appear in @deffn ghdl.texi:19758: warning: @footnote should not appear in @deffn ghdl.texi:19790: warning: @footnote should not appear in @deffn ghdl.texi:19819: warning: @footnote should not appear in @deffn ghdl.texi:19828: warning: @footnote should not appear in @deffn ghdl.texi:19853: warning: @footnote should not appear in @deffn ghdl.texi:19923: warning: @footnote should not appear in @deffn ghdl.texi:19941: warning: @footnote should not appear in @deffn ghdl.texi:19971: warning: @footnote should not appear in @deffn ghdl.texi:20114: warning: @footnote should not appear in @deffn ghdl.texi:20125: warning: @footnote should not appear in @deffn ghdl.texi:20181: warning: @footnote should not appear in @deffn ghdl.texi:20192: warning: @footnote should not appear in @deffn ghdl.texi:20239: warning: @footnote should not appear in @deffn ghdl.texi:20250: warning: @footnote should not appear in @deffn ghdl.texi:20292: warning: @footnote should not appear in @deffn ghdl.texi:20301: warning: @footnote should not appear in @deffn ghdl.texi:20310: warning: @footnote should not appear in @deffn ghdl.texi:20319: warning: @footnote should not appear in @deffn ghdl.texi:20330: warning: @footnote should not appear in @deffn ghdl.texi:20355: warning: @footnote should not appear in @deffn ghdl.texi:20365: warning: @footnote should not appear in @deffn ghdl.texi:20377: warning: @footnote should not appear in @deffn ghdl.texi:20410: warning: @footnote should not appear in @deffn ghdl.texi:20464: warning: @footnote should not appear in @deffn ghdl.texi:20484: warning: @footnote should not appear in @deffn ghdl.texi:20536: warning: @footnote should not appear in @deffn ghdl.texi:20545: warning: @footnote should not appear in @deffn ghdl.texi:20565: warning: @footnote should not appear in @deffn ghdl.texi:20579: warning: @footnote should not appear in @deffn ghdl.texi:20622: warning: @footnote should not appear in @deffn ghdl.texi:20631: warning: @footnote should not appear in @deffn ghdl.texi:20651: warning: @footnote should not appear in @deffn ghdl.texi:20708: warning: @footnote should not appear in @deffn ghdl.texi:20717: warning: @footnote should not appear in @deffn ghdl.texi:20728: warning: @footnote should not appear in @deffn ghdl.texi:20767: warning: @footnote should not appear in @deffn ghdl.texi:20779: warning: @footnote should not appear in @deffn ghdl.texi:20812: warning: @footnote should not appear in @deffn ghdl.texi:20823: warning: @footnote should not appear in @deffn ghdl.texi:20832: warning: @footnote should not appear in @deffn ghdl.texi:20852: warning: @footnote should not appear in @deffn ghdl.texi:20861: warning: @footnote should not appear in @deffn ghdl.texi:20875: warning: @footnote should not appear in @deffn ghdl.texi:20880: warning: @footnote should not appear in @deffn ghdl.texi:20892: warning: @footnote should not appear in @deffn ghdl.texi:20930: warning: @footnote should not appear in @deffn ghdl.texi:20941: warning: @footnote should not appear in @deffn ghdl.texi:20961: warning: @footnote should not appear in @deffn ghdl.texi:20970: warning: @footnote should not appear in @deffn ghdl.texi:20984: warning: @footnote should not appear in @deffn ghdl.texi:20996: warning: @footnote should not appear in @deffn ghdl.texi:21003: warning: @footnote should not appear in @deffn ghdl.texi:21034: warning: @footnote should not appear in @deffn ghdl.texi:21045: warning: @footnote should not appear in @deffn ghdl.texi:21054: warning: @footnote should not appear in @deffn ghdl.texi:21074: warning: @footnote should not appear in @deffn ghdl.texi:21095: warning: @footnote should not appear in @deffn ghdl.texi:21147: warning: @footnote should not appear in @deffn ghdl.texi:21167: warning: @footnote should not appear in @deffn ghdl.texi:21208: warning: @footnote should not appear in @deffn ghdl.texi:21256: warning: @footnote should not appear in @deffn ghdl.texi:21276: warning: @footnote should not appear in @deffn ghdl.texi:21317: warning: @footnote should not appear in @deffn ghdl.texi:21351: warning: @footnote should not appear in @deffn ghdl.texi:21362: warning: @footnote should not appear in @deffn ghdl.texi:21394: warning: @footnote should not appear in @deffn ghdl.texi:21436: warning: @footnote should not appear in @deffn ghdl.texi:21447: warning: @footnote should not appear in @deffn ghdl.texi:21467: warning: @footnote should not appear in @deffn ghdl.texi:21490: warning: @footnote should not appear in @deffn ghdl.texi:21507: warning: @footnote should not appear in @deffn ghdl.texi:21591: warning: @footnote should not appear in @deffn ghdl.texi:21614: warning: @footnote should not appear in @deffn ghdl.texi:21623: warning: @footnote should not appear in @deffn ghdl.texi:21634: warning: @footnote should not appear in @deffn ghdl.texi:21643: warning: @footnote should not appear in @deffn ghdl.texi:21652: warning: @footnote should not appear in @deffn ghdl.texi:21672: warning: @footnote should not appear in @deffn ghdl.texi:21681: warning: @footnote should not appear in @deffn ghdl.texi:21705: warning: @footnote should not appear in @deffn ghdl.texi:21717: warning: @footnote should not appear in @deffn ghdl.texi:21769: warning: @footnote should not appear in @deffn ghdl.texi:21778: warning: @footnote should not appear in @deffn ghdl.texi:21789: warning: @footnote should not appear in @deffn ghdl.texi:21798: warning: @footnote should not appear in @deffn ghdl.texi:21807: warning: @footnote should not appear in @deffn ghdl.texi:21827: warning: @footnote should not appear in @deffn ghdl.texi:21836: warning: @footnote should not appear in @deffn ghdl.texi:21863: warning: @footnote should not appear in @deffn ghdl.texi:21948: warning: @footnote should not appear in @deffn ghdl.texi:21957: warning: @footnote should not appear in @deffn ghdl.texi:21986: warning: @footnote should not appear in @deffn ghdl.texi:22005: warning: @footnote should not appear in @deffn ghdl.texi:22010: warning: @footnote should not appear in @deffn ghdl.texi:22039: warning: @footnote should not appear in @deffn ghdl.texi:22068: warning: @footnote should not appear in @deffn ghdl.texi:22077: warning: @footnote should not appear in @deffn ghdl.texi:22096: warning: @footnote should not appear in @deffn ghdl.texi:22101: warning: @footnote should not appear in @deffn ghdl.texi:22135: warning: @footnote should not appear in @deffn ghdl.texi:22155: warning: @footnote should not appear in @deffn ghdl.texi:22173: warning: @footnote should not appear in @deffn ghdl.texi:22182: warning: @footnote should not appear in @deffn ghdl.texi:22245: warning: @footnote should not appear in @deffn ghdl.texi:22245: warning: @footnote should not appear in @deffn ghdl.texi:22245: warning: @footnote should not appear in @deffn ghdl.texi:22254: warning: @footnote should not appear in @deffn ghdl.texi:22283: warning: @footnote should not appear in @deffn ghdl.texi:22292: warning: @footnote should not appear in @deffn ghdl.texi:22355: warning: @footnote should not appear in @deffn ghdl.texi:22355: warning: @footnote should not appear in @deffn ghdl.texi:22355: warning: @footnote should not appear in @deffn ghdl.texi:22355: warning: @footnote should not appear in @deffn ghdl.texi:22355: warning: @footnote should not appear in @deffn ghdl.texi:22364: warning: @footnote should not appear in @deffn ghdl.texi:22393: warning: @footnote should not appear in @deffn ghdl.texi:22412: warning: @footnote should not appear in @deffn ghdl.texi:22417: warning: @footnote should not appear in @deffn ghdl.texi:22451: warning: @footnote should not appear in @deffn ghdl.texi:22451: warning: @footnote should not appear in @deffn ghdl.texi:22451: warning: @footnote should not appear in @deffn ghdl.texi:22451: warning: @footnote should not appear in @deffn ghdl.texi:22460: warning: @footnote should not appear in @deffn ghdl.texi:22489: warning: @footnote should not appear in @deffn ghdl.texi:22508: warning: @footnote should not appear in @deffn ghdl.texi:22513: warning: @footnote should not appear in @deffn ghdl.texi:22636: warning: @footnote should not appear in @deffn ghdl.texi:22647: warning: @footnote should not appear in @deffn ghdl.texi:22679: warning: @footnote should not appear in @deffn ghdl.texi:22712: warning: @footnote should not appear in @deffn ghdl.texi:22723: warning: @footnote should not appear in @deffn ghdl.texi:22732: warning: @footnote should not appear in @deffn ghdl.texi:22769: warning: @footnote should not appear in @deffn ghdl.texi:22793: warning: @footnote should not appear in @deffn ghdl.texi:22804: warning: @footnote should not appear in @deffn ghdl.texi:22824: warning: @footnote should not appear in @deffn ghdl.texi:22824: warning: @footnote should not appear in @deffn ghdl.texi:22838: warning: @footnote should not appear in @deffn ghdl.texi:22860: warning: @footnote should not appear in @deffn ghdl.texi:22893: warning: @footnote should not appear in @deffn ghdl.texi:22904: warning: @footnote should not appear in @deffn ghdl.texi:22924: warning: @footnote should not appear in @deffn ghdl.texi:22933: warning: @footnote should not appear in @deffn ghdl.texi:22933: warning: @footnote should not appear in @deffn ghdl.texi:22942: warning: @footnote should not appear in @deffn ghdl.texi:22942: warning: @footnote should not appear in @deffn ghdl.texi:22956: warning: @footnote should not appear in @deffn ghdl.texi:22961: warning: @footnote should not appear in @deffn ghdl.texi:22988: warning: @footnote should not appear in @deffn ghdl.texi:23021: warning: @footnote should not appear in @deffn ghdl.texi:23030: warning: @footnote should not appear in @deffn ghdl.texi:23039: warning: @footnote should not appear in @deffn ghdl.texi:23050: warning: @footnote should not appear in @deffn ghdl.texi:23080: warning: @footnote should not appear in @deffn ghdl.texi:23092: warning: @footnote should not appear in @deffn ghdl.texi:23125: warning: @footnote should not appear in @deffn ghdl.texi:23136: warning: @footnote should not appear in @deffn ghdl.texi:23156: warning: @footnote should not appear in @deffn ghdl.texi:23156: warning: @footnote should not appear in @deffn ghdl.texi:23182: warning: @footnote should not appear in @deffn ghdl.texi:23215: warning: @footnote should not appear in @deffn ghdl.texi:23224: warning: @footnote should not appear in @deffn ghdl.texi:23235: warning: @footnote should not appear in @deffn ghdl.texi:23260: warning: @footnote should not appear in @deffn ghdl.texi:23272: warning: @footnote should not appear in @deffn ghdl.texi:23305: warning: @footnote should not appear in @deffn ghdl.texi:23316: warning: @footnote should not appear in @deffn ghdl.texi:23316: warning: @footnote should not appear in @deffn ghdl.texi:23325: warning: @footnote should not appear in @deffn ghdl.texi:23362: warning: @footnote should not appear in @deffn ghdl.texi:23395: warning: @footnote should not appear in @deffn ghdl.texi:23406: warning: @footnote should not appear in @deffn ghdl.texi:23406: warning: @footnote should not appear in @deffn ghdl.texi:23415: warning: @footnote should not appear in @deffn ghdl.texi:23452: warning: @footnote should not appear in @deffn ghdl.texi:23490: warning: @footnote should not appear in @deffn ghdl.texi:23501: warning: @footnote should not appear in @deffn ghdl.texi:23538: warning: @footnote should not appear in @deffn ghdl.texi:23576: warning: @footnote should not appear in @deffn ghdl.texi:23587: warning: @footnote should not appear in @deffn ghdl.texi:23624: warning: @footnote should not appear in @deffn ghdl.texi:23648: warning: @footnote should not appear in @deffn ghdl.texi:23657: warning: @footnote should not appear in @deffn ghdl.texi:23668: warning: @footnote should not appear in @deffn ghdl.texi:23688: warning: @footnote should not appear in @deffn ghdl.texi:23697: warning: @footnote should not appear in @deffn ghdl.texi:23706: warning: @footnote should not appear in @deffn ghdl.texi:23725: warning: @footnote should not appear in @deffn ghdl.texi:23747: warning: @footnote should not appear in @deffn ghdl.texi:24194: warning: @footnote should not appear in @deffn ghdl.texi:24461: warning: @footnote should not appear in @deffn ghdl.texi:24494: warning: @footnote should not appear in @deffn ghdl.texi:24499: warning: @footnote should not appear in @deffn ghdl.texi:24504: warning: @footnote should not appear in @deffn ghdl.texi:24520: warning: @footnote should not appear in @deffn ghdl.texi:24529: warning: @footnote should not appear in @deffn ghdl.texi:24538: warning: @footnote should not appear in @deffn ghdl.texi:55959: warning: @footnote should not appear in @deffn checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a yes x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a checking dependency style of x86_64-linux-gnu-gcc-12... build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-mddeps /bin/sh ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ > tmp-constants.h gcc3 build/genenums ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ > tmp-enums.cc checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by x86_64-linux-gnu-gcc-12... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm checking the name lister (x86_64-linux-gnu-nm) interface... /bin/sh ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h /bin/sh ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc echo timestamp > s-constants echo timestamp > s-enums x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencheck.o ../../src/gcc/gencheck.cc BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for x86_64-linux-gnu-ld option to reload object files... -r checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state ok checking for dlfcn.h... yes checking for objdir... .libs x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ build/gencheck.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencheck > tmp-check.h /bin/sh ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... /bin/sh ../../src/gcc/../move-if-change tmp-gtype.state gtype.state no checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... build/gengtype \ -r gtype.state yes checking if x86_64-linux-gnu-gcc-12 static flag -static works... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... echo timestamp > s-gtype yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no checking whether we are using the GNU C++ compiler... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... yes checking dependency style of x86_64-linux-gnu-g++-12... gcc3 x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genopinit.o ../../src/gcc/genopinit.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattrtab.o ../../src/gcc/genattrtab.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genautomata.o ../../src/gcc/genautomata.cc checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genemit.o ../../src/gcc/genemit.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genextract.o ../../src/gcc/genextract.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genoutput.o ../../src/gcc/genoutput.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpeep.o ../../src/gcc/genpeep.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genrecog.o ../../src/gcc/genrecog.cc x86_64-linux-gnu-g++-12 -E checking for ld used by x86_64-linux-gnu-g++-12... x86_64-linux-gnu-ld -m elf_x86_64 checking if the linker (x86_64-linux-gnu-ld -m elf_x86_64) is GNU ld... yes checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking for x86_64-linux-gnu-g++-12 option to produce PIC... -fPIC -DPIC checking if x86_64-linux-gnu-g++-12 PIC flag -fPIC -DPIC works... yes checking if x86_64-linux-gnu-g++-12 static flag -static works... yes checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... yes checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for CET support... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpreds.o ../../src/gcc/genpreds.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rtl.o ../../src/gcc/rtl.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-rtl.o ../../src/gcc/read-rtl.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/ggc-none.o ../../src/gcc/ggc-none.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/vec.o ../../src/gcc/vec.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gensupport.o ../../src/gcc/gensupport.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/print-rtl.o ../../src/gcc/print-rtl.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/hash-table.o ../../src/gcc/hash-table.cc yes checking whether basename is declared... yes checking whether x86_64-linux-gnu-gcc-12 supports -W... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes checking for x86_64-linux-gnu-objdump... /usr/bin/x86_64-linux-gnu-objdump checking what objdump to use... /usr/bin/x86_64-linux-gnu-objdump checking for socket libraries... checking for connect... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genflags.o ../../src/gcc/genflags.cc yes checking for gethostbyname... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconditions.o ../../src/gcc/genconditions.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr.o ../../src/gcc/genattr.cc yes checking for exported symbols... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr-common.o ../../src/gcc/genattr-common.cc yes checking for -rdynamic... yes checking for library containing dlopen... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencodes.o ../../src/gcc/gencodes.cc none required checking for -fPIC -shared... yes checking for socketpair... yes checking for select... yes checking for fork... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconfig.o ../../src/gcc/genconfig.cc yes x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.cc configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h build/gencfn-macros -o \ > tmp-cfn-operators.pd /bin/sh ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h /bin/sh ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd echo timestamp > s-case-cfn-macros echo timestamp > s-cfn-operators x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmatch.o ../../src/gcc/genmatch.cc x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/genpreds ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-preds.cc build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-preds.h build/genconditions ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-condmd.cc build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-constrs.h x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a config.status: creating Makefile config.status: creating cc1plugin-config.h config.status: executing depfiles commands config.status: executing libtool commands /bin/sh ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc echo timestamp > s-preds /bin/sh ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc echo timestamp > s-conditions /bin/sh ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h echo timestamp > s-preds-h /bin/sh ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h echo timestamp > s-constrs-h x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencondmd.o build/gencondmd.cc x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -lm x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/sh ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-attr-common.h build/gencodes ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-codes.h build/genconfig ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-config.h build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-target-def.h build/genopinit ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.cc build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md insn-conditions.md \ -Atmp-attrtab.cc -Dtmp-dfatab.cc -Ltmp-latencytab.cc build/genautomata ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-automata.cc build/genemit ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-emit.cc build/genextract ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-extract.cc build/genoutput ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-output.cc build/genpeep ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-peep.cc build/genrecog ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-recog.cc ghdl.texi:555: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text ghdl.texi:56890: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text /bin/sh ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc echo timestamp > s-extract x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmatch \ build/genmatch.o ../build-x86_64-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-x86_64-linux-gnu/libiberty/libiberty.a /bin/sh ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-target-def build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.cc /bin/sh ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h /bin/sh ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc echo timestamp > s-opinit /bin/sh ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h /bin/sh ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h echo timestamp > s-attr echo timestamp > s-attr-common /bin/sh ../../src/gcc/../move-if-change tmp-config.h insn-config.h echo timestamp > s-config GIMPLE decision tree has 4127 leafs, maximum depth 28 and a total number of 17761 nodes removed 2724 duplicate tails /bin/sh ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h echo timestamp > s-codes build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.cc /bin/sh ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc echo timestamp > s-peep /bin/sh ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h GENERIC decision tree has 3770 leafs, maximum depth 13 and a total number of 15889 nodes /bin/sh ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc echo timestamp > s-flags removed 2560 duplicate tails echo timestamp > s-emit /bin/sh ../../src/gcc/../move-if-change tmp-gimple-match.cc \ gimple-match.cc /bin/sh ../../src/gcc/../move-if-change tmp-generic-match.cc \ generic-match.cc echo timestamp > s-match Statistics for recog: Number of decisions: 68532 longest path: 337 (code: 6968) longest backtrack: 34 (code: 5866) x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc Statistics for split_insns: Number of decisions: 19767 longest path: 169 (code: 1078) longest backtrack: 23 (code: 709) x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc Statistics for peephole2_insns: Number of decisions: 2960 longest path: 880 (code: 197) longest backtrack: 55 (code: 303) x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc Shared 69645 out of 121921 states by creating 12116 new states, saving 57529 /bin/sh ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc echo timestamp > s-recog x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"x86_64-pc-linux-gnu\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc /bin/sh ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc echo timestamp > s-output x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-c.o -MT i386-c.o -MMD -MP -MF ./.deps/i386-c.TPo ../../src/gcc/config/i386/i386-c.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o adjust-alignment.o -MT adjust-alignment.o -MMD -MP -MF ./.deps/adjust-alignment.TPo ../../src/gcc/adjust-alignment.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc /bin/sh ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc echo timestamp > s-automata x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-clones.o -MT symtab-clones.o -MMD -MP -MF ./.deps/symtab-clones.TPo ../../src/gcc/symtab-clones.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/c++/12\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/x86_64-linux-gnu/c++/12\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/c++/12/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../libc++_include/c++/12/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"12.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/c++/12\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/x86_64-linux-gnu/c++/12\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../include/c++/12/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../libc++_include/c++/12/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbxout.o -MT dbxout.o -MMD -MP -MF ./.deps/dbxout.TPo ../../src/gcc/dbxout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2ctf.o -MT dwarf2ctf.o -MMD -MP -MF ./.deps/dwarf2ctf.TPo ../../src/gcc/dwarf2ctf.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc /bin/sh ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc /bin/sh ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc /bin/sh ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc echo timestamp > s-attrtab x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-array-bounds.o -MT gimple-array-bounds.o -MMD -MP -MF ./.deps/gimple-array-bounds.TPo ../../src/gcc/gimple-array-bounds.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc ../../src/gcc/expmed.cc: In function 'rtx_def* extract_bit_field_1(rtx, poly_uint64, poly_uint64, int, rtx, machine_mode, machine_mode, bool, bool, rtx_def**)': ../../src/gcc/expmed.cc:1832:45: warning: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' may be used uninitialized [-Wmaybe-uninitialized] 1832 | rtx sub = extract_bit_field_as_subreg (mode1, op0, imode, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~ 1833 | bitsize, bitnum); | ~~~~~~~~~~~~~~~~ ../../src/gcc/expmed.cc:1792:19: note: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' was declared here 1792 | scalar_int_mode imode; | ^~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc In file included from ../../src/gcc/coretypes.h:478, from ../../src/gcc/expmed.cc:26: In function 'poly_uint16 mode_to_bytes(machine_mode)', inlined from 'typename if_nonpoly::type GET_MODE_SIZE(const T&) [with T = scalar_int_mode]' at ../../src/gcc/machmode.h:647:24, inlined from 'rtx_def* emit_store_flag_1(rtx, rtx_code, rtx, rtx, machine_mode, int, int, machine_mode)' at ../../src/gcc/expmed.cc:5732:56: ../../src/gcc/machmode.h:550:49: warning: '*(unsigned int*)((char*)&int_mode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' may be used uninitialized [-Wmaybe-uninitialized] 550 | ? mode_size_inline (mode) : mode_size[mode]); | ^~~~ ../../src/gcc/expmed.cc: In function 'rtx_def* emit_store_flag_1(rtx, rtx_code, rtx, rtx, machine_mode, int, int, machine_mode)': ../../src/gcc/expmed.cc:5661:19: note: '*(unsigned int*)((char*)&int_mode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' was declared here 5661 | scalar_int_mode int_mode; | ^~~~~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-gori.o -MT gimple-range-gori.o -MMD -MP -MF ./.deps/gimple-range-gori.TPo ../../src/gcc/gimple-range-gori.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-trace.o -MT gimple-range-trace.o -MMD -MP -MF ./.deps/gimple-range-trace.TPo ../../src/gcc/gimple-range-trace.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp.o -MT gimple-ssa-evrp.o -MMD -MP -MF ./.deps/gimple-ssa-evrp.TPo ../../src/gcc/gimple-ssa-evrp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp-analyze.o -MT gimple-ssa-evrp-analyze.o -MMD -MP -MF ./.deps/gimple-ssa-evrp-analyze.TPo ../../src/gcc/gimple-ssa-evrp-analyze.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-access.o -MT gimple-ssa-warn-access.o -MMD -MP -MF ./.deps/gimple-ssa-warn-access.TPo ../../src/gcc/gimple-ssa-warn-access.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-warn-recursion.o -MT gimple-warn-recursion.o -MMD -MP -MF ./.deps/gimple-warn-recursion.TPo ../../src/gcc/gimple-warn-recursion.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-free-lang-data.o -MT ipa-free-lang-data.o -MMD -MP -MF ./.deps/ipa-free-lang-data.TPo ../../src/gcc/ipa-free-lang-data.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref.o -MT ipa-modref.o -MMD -MP -MF ./.deps/ipa-modref.TPo ../../src/gcc/ipa-modref.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref-tree.o -MT ipa-modref-tree.o -MMD -MP -MF ./.deps/ipa-modref-tree.TPo ../../src/gcc/ipa-modref-tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DTARGET_MACHINE=\"x86_64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-kernels-decompose.o -MT omp-oacc-kernels-decompose.o -MMD -MP -MF ./.deps/omp-oacc-kernels-decompose.TPo ../../src/gcc/omp-oacc-kernels-decompose.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-neuter-broadcast.o -MT omp-oacc-neuter-broadcast.o -MMD -MP -MF ./.deps/omp-oacc-neuter-broadcast.TPo ../../src/gcc/omp-oacc-neuter-broadcast.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DTARGET_NAME=\"x86_64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pointer-query.o -MT pointer-query.o -MMD -MP -MF ./.deps/pointer-query.TPo ../../src/gcc/pointer-query.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/accesses.o -MT rtl-ssa/accesses.o -MMD -MP -MF rtl-ssa/.deps/accesses.TPo ../../src/gcc/rtl-ssa/accesses.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/blocks.o -MT rtl-ssa/blocks.o -MMD -MP -MF rtl-ssa/.deps/blocks.TPo ../../src/gcc/rtl-ssa/blocks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/changes.o -MT rtl-ssa/changes.o -MMD -MP -MF rtl-ssa/.deps/changes.TPo ../../src/gcc/rtl-ssa/changes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/functions.o -MT rtl-ssa/functions.o -MMD -MP -MF rtl-ssa/.deps/functions.TPo ../../src/gcc/rtl-ssa/functions.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/insns.o -MT rtl-ssa/insns.o -MMD -MP -MF rtl-ssa/.deps/insns.TPo ../../src/gcc/rtl-ssa/insns.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o splay-tree-utils.o -MT splay-tree-utils.o -MMD -MP -MF ./.deps/splay-tree-utils.TPo ../../src/gcc/splay-tree-utils.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DTARGET_NAME=\"x86_64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-path.o -MT gimple-range-path.o -MMD -MP -MF ./.deps/gimple-range-path.TPo ../../src/gcc/gimple-range-path.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-isel.o -MT gimple-isel.o -MMD -MP -MF ./.deps/gimple-isel.TPo ../../src/gcc/gimple-isel.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp-patterns.o -MT tree-vect-slp-patterns.o -MMD -MP -MF ./.deps/tree-vect-slp-patterns.TPo ../../src/gcc/tree-vect-slp-patterns.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-pointer-equiv.o -MT value-pointer-equiv.o -MMD -MP -MF ./.deps/value-pointer-equiv.TPo ../../src/gcc/value-pointer-equiv.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-query.o -MT value-query.o -MMD -MP -MF ./.deps/value-query.TPo ../../src/gcc/value-query.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range-equiv.o -MT value-range-equiv.o -MMD -MP -MF ./.deps/value-range-equiv.TPo ../../src/gcc/value-range-equiv.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-relation.o -MT value-relation.o -MMD -MP -MF ./.deps/value-relation.TPo ../../src/gcc/value-relation.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o warning-control.o -MT warning-control.o -MMD -MP -MF ./.deps/warning-control.TPo ../../src/gcc/warning-control.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o xcoffout.o -MT xcoffout.o -MMD -MP -MF ./.deps/xcoffout.TPo ../../src/gcc/xcoffout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386.o -MT i386.o -MMD -MP -MF ./.deps/i386.TPo ../../src/gcc/config/i386/i386.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-info.o -MT analyzer/call-info.o -MMD -MP -MF analyzer/.deps/call-info.TPo ../../src/gcc/analyzer/call-info.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/complexity.o -MT analyzer/complexity.o -MMD -MP -MF analyzer/.deps/complexity.TPo ../../src/gcc/analyzer/complexity.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::saved_diagnostic::dump_as_dot_node(pretty_printer*) const': ../../src/gcc/analyzer/diagnostic-manager.cc:783:28: warning: unknown conversion type character 'E' in format [-Wformat=] 783 | pp_printf (pp, "var: %qE\n", m_var); | ^ ../../src/gcc/analyzer/diagnostic-manager.cc:783:20: warning: too many arguments for format [-Wformat-extra-args] 783 | pp_printf (pp, "var: %qE\n", m_var); | ^~~~~~~~~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-asm.o -MT analyzer/region-model-asm.o -MMD -MP -MF analyzer/.deps/region-model-asm.TPo ../../src/gcc/analyzer/region-model-asm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-impl-calls.o -MT analyzer/region-model-impl-calls.o -MMD -MP -MF analyzer/.deps/region-model-impl-calls.TPo ../../src/gcc/analyzer/region-model-impl-calls.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-manager.o -MT analyzer/region-model-manager.o -MMD -MP -MF analyzer/.deps/region-model-manager.TPo ../../src/gcc/analyzer/region-model-manager.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-reachability.o -MT analyzer/region-model-reachability.o -MMD -MP -MF analyzer/.deps/region-model-reachability.TPo ../../src/gcc/analyzer/region-model-reachability.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/store.o -MT analyzer/store.o -MMD -MP -MF analyzer/.deps/store.TPo ../../src/gcc/analyzer/store.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/svalue.o -MT analyzer/svalue.o -MMD -MP -MF analyzer/.deps/svalue.TPo ../../src/gcc/analyzer/svalue.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/trimmed-graph.o -MT analyzer/trimmed-graph.o -MMD -MP -MF analyzer/.deps/trimmed-graph.TPo ../../src/gcc/analyzer/trimmed-graph.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched.o -MT x86-tune-sched.o -MMD -MP -MF ./.deps/x86-tune-sched.TPo ../../src/gcc/config/i386/x86-tune-sched.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-bd.o -MT x86-tune-sched-bd.o -MMD -MP -MF ./.deps/x86-tune-sched-bd.TPo ../../src/gcc/config/i386/x86-tune-sched-bd.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-atom.o -MT x86-tune-sched-atom.o -MMD -MP -MF ./.deps/x86-tune-sched-atom.TPo ../../src/gcc/config/i386/x86-tune-sched-atom.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-core.o -MT x86-tune-sched-core.o -MMD -MP -MF ./.deps/x86-tune-sched-core.TPo ../../src/gcc/config/i386/x86-tune-sched-core.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-options.o -MT i386-options.o -MMD -MP -MF ./.deps/i386-options.TPo ../../src/gcc/config/i386/i386-options.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-builtins.o -MT i386-builtins.o -MMD -MP -MF ./.deps/i386-builtins.TPo ../../src/gcc/config/i386/i386-builtins.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-expand.o -MT i386-expand.o -MMD -MP -MF ./.deps/i386-expand.TPo ../../src/gcc/config/i386/i386-expand.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-features.o -MT i386-features.o -MMD -MP -MF ./.deps/i386-features.TPo ../../src/gcc/config/i386/i386-features.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gnu-property.o -MT gnu-property.o -MMD -MP -MF ./.deps/gnu-property.TPo ../../src/gcc/config/i386/gnu-property.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-common.o -MT i386-common.o -MMD -MP -MF ./.deps/i386-common.TPo ../../src/gcc/common/config/i386/i386-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"12.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-spec.o -MT diagnostic-spec.o -MMD -MP -MF ./.deps/diagnostic-spec.TPo ../../src/gcc/diagnostic-spec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-lang.o -MT lto/lto-lang.o -MMD -MP -MF lto/.deps/lto-lang.TPo ../../src/gcc/lto/lto-lang.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto.o -MT lto/lto.o -MMD -MP -MF lto/.deps/lto.TPo ../../src/gcc/lto/lto.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-object.o -MT lto/lto-object.o -MMD -MP -MF lto/.deps/lto-object.TPo ../../src/gcc/lto/lto-object.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-partition.o -MT lto/lto-partition.o -MMD -MP -MF lto/.deps/lto-partition.TPo ../../src/gcc/lto/lto-partition.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-symtab.o -MT lto/lto-symtab.o -MMD -MP -MF lto/.deps/lto-symtab.TPo ../../src/gcc/lto/lto-symtab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-common.o -MT lto/lto-common.o -MMD -MP -MF lto/.deps/lto-common.TPo ../../src/gcc/lto/lto-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.c x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c ../../src/gcc/../libgcc/libgcov-util.c: In function 'gcov_info* gcov_read_profile_dir(const char*, int)': ../../src/gcc/../libgcc/libgcov-util.c:465:9: warning: ignoring return value of 'int chdir(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 465 | chdir (pwd); | ~~~~~~^~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -DTARGET_MACHINE=\"x86_64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc ../../src/gcc/lto/lto-common.cc: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': ../../src/gcc/lto/lto-common.cc:2075:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 2075 | fscanf (resolution, " "); /* Read white space. */ | ~~~~~~~^~~~~~~~~~~~~~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc ../../src/gcc/lto/lto-common.cc:2077:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)' declared with attribute 'warn_unused_result' [-Wunused-result] 2077 | fread (obj_name, sizeof (char), name_len, resolution); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2097:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 2097 | fscanf (resolution, "%u", &num_symbols); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc ../../src/gcc/lto-wrapper.cc: In function 'bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)': ../../src/gcc/lto-wrapper.cc:1138:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 1138 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"12.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here ../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o driver-i386.o -MT driver-i386.o -MMD -MP -MF ./.deps/driver-i386.TPo ../../src/gcc/config/i386/driver-i386.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc rm -rf libcommon-target.a x86_64-linux-gnu-ar rc libcommon-target.a i386-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o rm -rf libcommon.a ../../src/gcc/gcc.cc: In function 'long unsigned int get_random_number()': ../../src/gcc/gcc.cc:10902:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 10902 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ x86_64-linux-gnu-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o ../../src/gcc/gcc.cc: In function 'void do_report_bug(const char**, int, char**, char**)': ../../src/gcc/gcc.cc:8090:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 8090 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8093:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 8093 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.cc:8094:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 8094 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8096:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 8096 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8116:17: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 8116 | system(cmd); | ~~~~~~^~~~~ ../../src/gcc/gcc.cc:8129:18: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 8129 | system (cmd); | ~~~~~~~^~~~~ x86_64-linux-gnu-ranlib libcommon-target.a x86_64-linux-gnu-ranlib libcommon.a x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tcollect2 \ collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tlto-wrapper lto-wrapper x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars if /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list /bin/sh ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo timestamp > s-fixinc_list rm -rf libbackend.a x86_64-linux-gnu-ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-equiv.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o xcoffout.o i386.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/call-info.o analyzer/call-string.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-impl-calls.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/trimmed-graph.o x86-tune-sched.o x86-tune-sched-bd.o x86-tune-sched-atom.o x86-tune-sched-core.o i386-options.o i386-builtins.o i386-expand.o i386-features.o linux.o gnu-property.o host-linux.o if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.cc cc1-checksum.cc; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.cc.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.cc.tmp cc1-checksum.cc; \ fi x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto1 \ lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto-dump \ lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ortho_gcc-main.ali" being checked ... -> "ortho_gcc-main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "ortho_gcc.ali" being checked ... -> "ortho_gcc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb "ortho_gcc_front.ali" being checked ... -> "ortho_gcc_front.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/options.adb "trans_be.ali" being checked ... -> "trans_be.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_be.adb "translation.ali" being checked ... -> "translation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads "trans.ali" being checked ... -> "trans.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb echo | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /bin/sh ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo The directory that should contain system headers does not exist: >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='x86_64-pc-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/sh'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-x86_64-linux-gnu/fixincludes && \ /bin/sh ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ rm -f ${fix_dir}/syslimits.h; \ if [ -f ${fix_dir}/limits.h ]; then \ mv ${fix_dir}/limits.h ${fix_dir}/syslimits.h; \ else \ cp ../../src/gcc/gsyslimits.h ${fix_dir}/syslimits.h; \ fi; \ chmod a+r ${fix_dir}/syslimits.h; \ done; \ fi "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb Fixing headers into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed for x86_64-pc-linux-gnu target Forbidden identifiers: linux unix Finding directories and links to directories Searching /usr/include/. Searching /usr/include/./llvm-c Searching /usr/include/./llvm Searching /usr/include/./clang/14.0.6/include Searching /usr/include/./clang/14/include Making symbolic directory links "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb Fixing directory /usr/include into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb End of compilation gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation "ghdl1" missing. x86_64-linux-gnu-gnatbind-12 -aI../../src/gcc/vhdl -aOvhdl -E -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali Applying io_quotes_def to llvm-14/llvm/Demangle/ItaniumDemangle.h x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R Applying io_quotes_def to unicode/platform.h Applying machine_name to x86_64-linux-gnu/bits/statx.h Applying machine_name to x86_64-linux-gnu/bits/unistd_ext.h Applying io_quotes_use to x86_64-linux-gnu/sys/mount.h Applying machine_name to x86_64-linux-gnu/sys/mount.h Applying io_quotes_use to x86_64-linux-gnu/sys/raw.h Applying machine_name to x86_64-linux-gnu/sys/rseq.h Applying io_quotes_use to x86_64-linux-gnu/asm/amd_hsmp.h Applying io_quotes_use to x86_64-linux-gnu/asm/mtrr.h Applying sun_malloc to malloc.h Applying hpux8_bogus_inlines to math.h Applying pthread_incomplete_struct_argument to pthread.h Fixed: pthread.h Applying glibc_stdint to stdint.h Applying sysv68_string to string.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/misc/bcm_vk.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/spi/spidev.h Applying io_quotes_use to linux/surface_aggregator/cdev.h Applying io_quotes_use to linux/surface_aggregator/dtx.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/aspeed-p2a-ctrl.h Applying io_quotes_use to linux/atmbr2684.h Applying io_quotes_use to linux/auto_fs.h Applying io_quotes_use to linux/blkpg.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/cachefiles.h Applying io_quotes_use to linux/cciss_ioctl.h Applying io_quotes_use to linux/cm4000_cs.h Applying io_quotes_use to linux/comedi.h Applying io_quotes_use to linux/counter.h Applying io_quotes_use to linux/cxl_mem.h Applying io_quotes_use to linux/dm-ioctl.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_use to linux/dma-heap.h Applying io_quotes_use to linux/f2fs.h Applying io_quotes_use to linux/fd.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/ipmi.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/kfd_ioctl.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/loadpin.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/nbd.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/nitro_enclaves.h Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/omapfb.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/pfrut.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/ppdev.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_use to linux/ptp_clock.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/reiserfs_fs.h Applying io_quotes_use to linux/remoteproc_cdev.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_use to linux/rio_cm_cdev.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/sev-guest.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_def to linux/soundcard.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/tee.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/vduse.h Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/vm_sockets.h Applying io_quotes_use to linux/vtpm_proxy.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to misc/xilinx_sdfec.h Applying io_quotes_use to mtd/ubi-user.h Applying io_quotes_use to rdma/rdma_user_ioctl.h Applying io_quotes_use to sound/asequencer.h Applying io_quotes_use to sound/asound.h Applying io_quotes_use to sound/compress_offload.h Applying io_quotes_use to sound/emu10k1.h Applying io_quotes_use to video/sisfb.h Fixing directory /usr/include/llvm-c into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm-c Fixing directory /usr/include/llvm into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm Applying io_quotes_def to llvm/Demangle/ItaniumDemangle.h gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... -> "ghdl_gcc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb Fixing directory /usr/include/clang/14.0.6/include into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/lib/clang/14.0.6/include "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/default_paths.ads "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-errors.adb netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-annotations.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-utils.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.ads "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... Cleaning up unneeded directories: "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-memtype.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-debugger.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/i386/cpuid.h ../../src/gcc/config/i386/mmintrin.h ../../src/gcc/config/i386/mm3dnow.h ../../src/gcc/config/i386/xmmintrin.h ../../src/gcc/config/i386/emmintrin.h ../../src/gcc/config/i386/pmmintrin.h ../../src/gcc/config/i386/tmmintrin.h ../../src/gcc/config/i386/ammintrin.h ../../src/gcc/config/i386/smmintrin.h ../../src/gcc/config/i386/nmmintrin.h ../../src/gcc/config/i386/bmmintrin.h ../../src/gcc/config/i386/fma4intrin.h ../../src/gcc/config/i386/wmmintrin.h ../../src/gcc/config/i386/immintrin.h ../../src/gcc/config/i386/x86intrin.h ../../src/gcc/config/i386/avxintrin.h ../../src/gcc/config/i386/xopintrin.h ../../src/gcc/config/i386/ia32intrin.h ../../src/gcc/config/i386/cross-stdarg.h ../../src/gcc/config/i386/lwpintrin.h ../../src/gcc/config/i386/popcntintrin.h ../../src/gcc/config/i386/lzcntintrin.h ../../src/gcc/config/i386/bmiintrin.h ../../src/gcc/config/i386/bmi2intrin.h ../../src/gcc/config/i386/tbmintrin.h ../../src/gcc/config/i386/avx2intrin.h ../../src/gcc/config/i386/avx512fintrin.h ../../src/gcc/config/i386/fmaintrin.h ../../src/gcc/config/i386/f16cintrin.h ../../src/gcc/config/i386/rtmintrin.h ../../src/gcc/config/i386/xtestintrin.h ../../src/gcc/config/i386/rdseedintrin.h ../../src/gcc/config/i386/prfchwintrin.h ../../src/gcc/config/i386/adxintrin.h ../../src/gcc/config/i386/fxsrintrin.h ../../src/gcc/config/i386/xsaveintrin.h ../../src/gcc/config/i386/xsaveoptintrin.h ../../src/gcc/config/i386/avx512cdintrin.h ../../src/gcc/config/i386/avx512erintrin.h ../../src/gcc/config/i386/avx512pfintrin.h ../../src/gcc/config/i386/shaintrin.h ../../src/gcc/config/i386/clflushoptintrin.h ../../src/gcc/config/i386/xsavecintrin.h ../../src/gcc/config/i386/xsavesintrin.h ../../src/gcc/config/i386/avx512dqintrin.h ../../src/gcc/config/i386/avx512bwintrin.h ../../src/gcc/config/i386/avx512vlintrin.h ../../src/gcc/config/i386/avx512vlbwintrin.h ../../src/gcc/config/i386/avx512vldqintrin.h ../../src/gcc/config/i386/avx512ifmaintrin.h ../../src/gcc/config/i386/avx512ifmavlintrin.h ../../src/gcc/config/i386/avx512vbmiintrin.h ../../src/gcc/config/i386/avx512vbmivlintrin.h ../../src/gcc/config/i386/avx5124fmapsintrin.h ../../src/gcc/config/i386/avx5124vnniwintrin.h ../../src/gcc/config/i386/avx512vpopcntdqintrin.h ../../src/gcc/config/i386/clwbintrin.h ../../src/gcc/config/i386/mwaitxintrin.h ../../src/gcc/config/i386/clzerointrin.h ../../src/gcc/config/i386/pkuintrin.h ../../src/gcc/config/i386/sgxintrin.h ../../src/gcc/config/i386/cetintrin.h ../../src/gcc/config/i386/gfniintrin.h ../../src/gcc/config/i386/cet.h ../../src/gcc/config/i386/avx512vbmi2intrin.h ../../src/gcc/config/i386/avx512vbmi2vlintrin.h ../../src/gcc/config/i386/avx512vnniintrin.h ../../src/gcc/config/i386/avx512vnnivlintrin.h ../../src/gcc/config/i386/vaesintrin.h ../../src/gcc/config/i386/vpclmulqdqintrin.h ../../src/gcc/config/i386/avx512vpopcntdqvlintrin.h ../../src/gcc/config/i386/avx512bitalgintrin.h ../../src/gcc/config/i386/pconfigintrin.h ../../src/gcc/config/i386/wbnoinvdintrin.h ../../src/gcc/config/i386/movdirintrin.h ../../src/gcc/config/i386/waitpkgintrin.h ../../src/gcc/config/i386/cldemoteintrin.h ../../src/gcc/config/i386/avx512bf16vlintrin.h ../../src/gcc/config/i386/avx512bf16intrin.h ../../src/gcc/config/i386/enqcmdintrin.h ../../src/gcc/config/i386/serializeintrin.h ../../src/gcc/config/i386/avx512vp2intersectintrin.h ../../src/gcc/config/i386/avx512vp2intersectvlintrin.h ../../src/gcc/config/i386/tsxldtrkintrin.h ../../src/gcc/config/i386/amxtileintrin.h ../../src/gcc/config/i386/amxint8intrin.h ../../src/gcc/config/i386/amxbf16intrin.h ../../src/gcc/config/i386/x86gprintrin.h ../../src/gcc/config/i386/uintrintrin.h ../../src/gcc/config/i386/hresetintrin.h ../../src/gcc/config/i386/keylockerintrin.h ../../src/gcc/config/i386/avxvnniintrin.h ../../src/gcc/config/i386/mwaitintrin.h ../../src/gcc/config/i386/avx512fp16intrin.h ../../src/gcc/config/i386/avx512fp16vlintrin.h mm_malloc.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_oper.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/x86_64-linux-gnu/limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ /bin/sh ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${fix_dir}/limits.h; \ cp -p tmp-limits.h ${fix_dir}/limits.h; \ chmod a+r ${fix_dir}/limits.h; \ done rm -f include-fixed/README cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README chmod a+r include-fixed/README echo timestamp > stmp-int-hdrs /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests cc1: note: self-tests are not enabled in this build echo timestamp > s-selftest-c End of compilation x86_64-linux-gnu-gnatbind-12 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali x86_64-linux-gnu-gnatlink-12 ghdl_gcc.ali -o ghdl --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R rm gcc.pod lto-dump.pod make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... mkdir -p -- x86_64-linux-gnu/libgcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' Configuring in x86_64-linux-gnu/libgcc x86_64-linux-gnu-g++-12 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc x86_64-linux-gnu-g++-12 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc /bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc -fPIC -DPIC -o .libs/context.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o mv -f .deps/names.Tpo .deps/names.Plo mv -f .deps/callbacks.Tpo .deps/callbacks.Plo mv -f .deps/marshall.Tpo .deps/marshall.Plo mv -f .deps/findcomp.Tpo .deps/findcomp.Plo mv -f .deps/connection.Tpo .deps/connection.Plo mv -f .deps/compiler.Tpo .deps/compiler.Plo configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-lipo... lipo checking for x86_64-linux-gnu-nm... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking whether ln -s works... yes checking for x86_64-linux-gnu-gcc... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include checking for suffix of object files... mv -f .deps/context.Tpo .deps/context.Plo o checking whether we are using the GNU C compiler... yes checking whether /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include accepts -g... yes checking for /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include option to accept ISO C89... none needed checking how to run the C preprocessor... x86_64-linux-gnu-g++-12 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a /usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -E checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of double... mv -f .deps/libcp1.Tpo .deps/libcp1.Plo mv -f .deps/libcc1.Tpo .deps/libcc1.Plo /bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a 8 checking size of long double... libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for string.h... yes checking for strings.h... yes checking for memory.h... yes checking for sys/auxv.h... yes checking for sys/mman.h... yes checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking whether decimal floating point is supported... yes checking whether fixed-point is supported... no checking whether the compiler is configured for setjmp/longjmp exceptions... no checking for CET support... yes checking if the linker (/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes checking for target glibc version... mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' 2.36 checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no checking if the assembler supports AVX... yes checking for init priority support... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile config.status: creating auto-target.h config.status: executing default commands WARNING: biarch_multidir_names is unset. Use default value: libiberty libstdc++-v3 libgfortran libmudflap libssp libffi libobjc libgomp Adding multilib support to Makefile in ../../../src/libgcc multidirs= with_multisubdir= make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' # If this is the top-level multilib, build all the other ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c # multilibs. ln -s ../../../src/libgcc/unwind-generic.h unwind.h make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' ln -s ../../../src/libgcc/config/i386/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/i386/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='../../../src/libgcc/config/i386/elf-lib.h ../../../src/libgcc/config/i386/value-unwind.h' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /bin/sh ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64_s.o -MT avx_savms64_s.o -MD -MP -MF avx_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64_s.o -MT avx_resms64_s.o -MD -MP -MF avx_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64.S echo timestamp > libgcc_tm.stamp /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x_s.o -MT avx_resms64x_s.o -MD -MP -MF avx_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64f_s.o -MT avx_savms64f_s.o -MD -MP -MF avx_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64f_s.o -MT avx_resms64f_s.o -MD -MP -MF avx_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64f.S make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64fx_s.o -MT avx_resms64fx_s.o -MD -MP -MF avx_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64_s.o -MT sse_savms64_s.o -MD -MP -MF sse_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64_s.o -MT sse_resms64_s.o -MD -MP -MF sse_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64x_s.o -MT sse_resms64x_s.o -MD -MP -MF sse_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64f_s.o -MT sse_savms64f_s.o -MD -MP -MF sse_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64f_s.o -MT sse_resms64f_s.o -MD -MP -MF sse_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64fx_s.o -MT sse_resms64fx_s.o -MD -MP -MF sse_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/morestack.S sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_savms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64.visT ../../../src/libgcc/config/i386/morestack.S: Assembler messages: ../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64x.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_savms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64f.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64f.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64fx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64fx.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_savms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_savms64.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64x.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_savms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_savms64f.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64f.visT mv -f avx_savms64.visT avx_savms64.vis mv -f avx_resms64.visT avx_resms64.vis mv -f avx_resms64x.visT avx_resms64x.vis mv -f avx_savms64f.visT avx_savms64f.vis mv -f avx_resms64f.visT avx_resms64f.vis mv -f sse_savms64.visT sse_savms64.vis mv -f avx_resms64fx.visT avx_resms64fx.vis mv -f sse_resms64.visT sse_resms64.vis mv -f sse_resms64x.visT sse_resms64x.vis mv -f sse_savms64f.visT sse_savms64f.vis mv -f sse_resms64f.visT sse_resms64f.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64fx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64fx.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c mv -f sse_resms64fx.visT sse_resms64fx.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c mv -f morestack.visT morestack.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_indirect_call_profiler_v4.o -MT _gcov_indirect_call_profiler_v4.o -MD -MP -MF _gcov_indirect_call_profiler_v4.dep -DL_gcov_indirect_call_profiler_v4 -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_lock_unlock.o -MT _gcov_lock_unlock.o -MD -MP -MF _gcov_lock_unlock.dep -DL_gcov_lock_unlock -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_info_to_gcda.o -MT _gcov_info_to_gcda.o -MD -MP -MF _gcov_info_to_gcda.dep -DL_gcov_info_to_gcda -c ../../../src/libgcc/libgcov-driver.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-dw2.o -MT unwind-dw2.o -MD -MP -MF unwind-dw2.dep -fexceptions -c ../../../src/libgcc/unwind-dw2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-dw2-fde-dip.o -MT unwind-dw2-fde-dip.o -MD -MP -MF unwind-dw2-fde-dip.dep -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-sjlj.o -MT unwind-sjlj.o -MD -MP -MF unwind-sjlj.dep -fexceptions -c ../../../src/libgcc/unwind-sjlj.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -DL_muldi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -DL_negdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -DL_trampoline -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -DL__main -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -DL_ctors -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -DL_clz -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -DL_powisf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -DL_powidf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -DL_powixf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -DL_muldc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -DL_divsc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -DL_divdc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -DL_divxc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -DL_floatdisf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -DL_floatdidf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -DL_floatdixf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -DL_floatundisf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -DL_floatundidf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -DL_floatundixf -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o cpuinfo_s.o -MT cpuinfo_s.o -MD -MP -MF cpuinfo_s.dep -DSHARED -c ../../../src/libgcc/config/i386/cpuinfo.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sfp-exceptions_s.o -MT sfp-exceptions_s.o -MD -MP -MF sfp-exceptions_s.dep -DSHARED -c ../../../src/libgcc/config/i386/sfp-exceptions.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -msse2 -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -c ../../../src/libgcc/config/i386/_divhc3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -msse2 -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -c ../../../src/libgcc/config/i386/_mulhc3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o addtf3_s.o -MT addtf3_s.o -MD -MP -MF addtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/addtf3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o divtf3_s.o -MT divtf3_s.o -MD -MP -MF divtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/divtf3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o multf3_s.o -MT multf3_s.o -MD -MP -MF multf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/multf3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o negtf2_s.o -MT negtf2_s.o -MD -MP -MF negtf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/negtf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o subtf3_s.o -MT subtf3_s.o -MD -MP -MF subtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/subtf3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o unordtf2_s.o -MT unordtf2_s.o -MD -MP -MF unordtf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/unordtf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfsi_s.o -MT fixtfsi_s.o -MD -MP -MF fixtfsi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfsi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfsi_s.o -MT fixunstfsi_s.o -MD -MP -MF fixunstfsi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfsi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatsitf_s.o -MT floatsitf_s.o -MD -MP -MF floatsitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatsitf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunsitf_s.o -MT floatunsitf_s.o -MD -MP -MF floatunsitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatunsitf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfdi_s.o -MT fixtfdi_s.o -MD -MP -MF fixtfdi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfdi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfdi_s.o -MT fixunstfdi_s.o -MD -MP -MF fixunstfdi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfdi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatditf_s.o -MT floatditf_s.o -MD -MP -MF floatditf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatditf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunditf_s.o -MT floatunditf_s.o -MD -MP -MF floatunditf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatunditf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfti_s.o -MT fixtfti_s.o -MD -MP -MF fixtfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfti.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfti_s.o -MT fixunstfti_s.o -MD -MP -MF fixunstfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfti.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floattitf_s.o -MT floattitf_s.o -MD -MP -MF floattitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floattitf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntitf_s.o -MT floatuntitf_s.o -MD -MP -MF floatuntitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatuntitf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfsf2_s.o -MT extendhfsf2_s.o -MD -MP -MF extendhfsf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendhfsf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfdf2_s.o -MT extendhfdf2_s.o -MD -MP -MF extendhfdf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendhfdf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhftf2_s.o -MT extendhftf2_s.o -MD -MP -MF extendhftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendhftf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfxf2_s.o -MT extendhfxf2_s.o -MD -MP -MF extendhfxf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendhfxf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsfdf2_s.o -MT extendsfdf2_s.o -MD -MP -MF extendsfdf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendsfdf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsftf2_s.o -MT extendsftf2_s.o -MD -MP -MF extendsftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendsftf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extenddftf2_s.o -MT extenddftf2_s.o -MD -MP -MF extenddftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extenddftf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendxftf2_s.o -MT extendxftf2_s.o -MD -MP -MF extendxftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendxftf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o trunctfhf2_s.o -MT trunctfhf2_s.o -MD -MP -MF trunctfhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfhf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncxfhf2_s.o -MT truncxfhf2_s.o -MD -MP -MF truncxfhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncxfhf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncdfhf2_s.o -MT truncdfhf2_s.o -MD -MP -MF truncdfhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncdfhf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncsfhf2_s.o -MT truncsfhf2_s.o -MD -MP -MF truncsfhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncsfhf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfsf2_s.o -MT trunctfsf2_s.o -MD -MP -MF trunctfsf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfsf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o truncdfsf2_s.o -MT truncdfsf2_s.o -MD -MP -MF truncdfsf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncdfsf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfdf2_s.o -MT trunctfdf2_s.o -MD -MP -MF trunctfdf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfdf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfxf2_s.o -MT trunctfxf2_s.o -MD -MP -MF trunctfxf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfxf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o fixhfti_s.o -MT fixhfti_s.o -MD -MP -MF fixhfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixhfti.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o fixunshfti_s.o -MT fixunshfti_s.o -MD -MP -MF fixunshfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunshfti.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o floattihf_s.o -MT floattihf_s.o -MD -MP -MF floattihf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floattihf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntihf_s.o -MT floatuntihf_s.o -MD -MP -MF floatuntihf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatuntihf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o eqhf2_s.o -MT eqhf2_s.o -MD -MP -MF eqhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/eqhf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o getf2_s.o -MT getf2_s.o -MD -MP -MF getf2_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/getf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o letf2_s.o -MT letf2_s.o -MD -MP -MF letf2_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/letf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o eqtf2_s.o -MT eqtf2_s.o -MD -MP -MF eqtf2_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/eqtf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/_divtc3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/_multc3.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -c ../../../src/libgcc/config/i386/64/_powitf2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED -c enable-execute-stack.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-dw2_s.o -MT unwind-dw2_s.o -MD -MP -MF unwind-dw2_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-dw2-fde-dip_s.o -MT unwind-dw2-fde-dip_s.o -MD -MP -MF unwind-dw2-fde-dip_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-sjlj_s.o -MT unwind-sjlj_s.o -MD -MP -MF unwind-sjlj_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-sjlj.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-c.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/emutls.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -fpic -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/crtstuff.c -DCRT_END /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -fpic -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o crtprec32.o -MT crtprec32.o -MD -MP -MF crtprec32.dep -D__PREC=32 -c ../../../src/libgcc/config/i386/crtprec.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o crtprec64.o -MT crtprec64.o -MD -MP -MF crtprec64.dep -D__PREC=64 -c ../../../src/libgcc/config/i386/crtprec.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o crtprec80.o -MT crtprec80.o -MD -MP -MF crtprec80.dep -D__PREC=80 -c ../../../src/libgcc/config/i386/crtprec.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o crtfastmath.o -MT crtfastmath.o -MD -MP -MF crtfastmath.dep -c ../../../src/libgcc/config/i386/crtfastmath.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadbegin.o -MT crtoffloadbegin.o -MD -MP -MF crtoffloadbegin.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/offloadstuff.c -DCRT_BEGIN /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadend.o -MT crtoffloadend.o -MD -MP -MF crtoffloadend.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/offloadstuff.c -DCRT_END /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadtable.o -MT crtoffloadtable.o -MD -MP -MF crtoffloadtable.dep -fno-omit-frame-pointer -fno-asynchronous-unwind-tables -fcf-protection -mshstk -c ../../../src/libgcc/offloadstuff.c -DCRT_TABLE { cat libgcc-std.ver ../../../src/libgcc/config/i386/libgcc-glibc.ver \ | sed -e '/^[ ]*#/d' \ -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -E -xassembler-with-cpp -; \ } > tmp-libgcc.map.in /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS mv tmp-libgcc.map.in libgcc.map.in /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_decimal_globals.o -MT bid_decimal_globals.o -MD -MP -MF bid_decimal_globals.dep -c ../../../src/libgcc/config/libbid/bid_decimal_globals.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_decimal_data.o -MT bid_decimal_data.o -MD -MP -MF bid_decimal_data.dep -c ../../../src/libgcc/config/libbid/bid_decimal_data.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_binarydecimal.o -MT bid_binarydecimal.o -MD -MP -MF bid_binarydecimal.dep -c ../../../src/libgcc/config/libbid/bid_binarydecimal.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_convert_data.o -MT bid_convert_data.o -MD -MP -MF bid_convert_data.dep -c ../../../src/libgcc/config/libbid/bid_convert_data.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _isinfd32.o -MT _isinfd32.o -MD -MP -MF _isinfd32.dep -c ../../../src/libgcc/config/libbid/_isinfd32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _isinfd64.o -MT _isinfd64.o -MD -MP -MF _isinfd64.dep -c ../../../src/libgcc/config/libbid/_isinfd64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _isinfd128.o -MT _isinfd128.o -MD -MP -MF _isinfd128.dep -c ../../../src/libgcc/config/libbid/_isinfd128.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_noncomp.o -MT bid64_noncomp.o -MD -MP -MF bid64_noncomp.dep -c ../../../src/libgcc/config/libbid/bid64_noncomp.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_noncomp.o -MT bid128_noncomp.o -MD -MP -MF bid128_noncomp.dep -c ../../../src/libgcc/config/libbid/bid128_noncomp.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_fma.o -MT bid128_fma.o -MD -MP -MF bid128_fma.dep -c ../../../src/libgcc/config/libbid/bid128_fma.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_round.o -MT bid_round.o -MD -MP -MF bid_round.dep -c ../../../src/libgcc/config/libbid/bid_round.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid_from_int.o -MT bid_from_int.o -MD -MP -MF bid_from_int.dep -c ../../../src/libgcc/config/libbid/bid_from_int.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_add.o -MT bid64_add.o -MD -MP -MF bid64_add.dep -c ../../../src/libgcc/config/libbid/bid64_add.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_add.o -MT bid128_add.o -MD -MP -MF bid128_add.dep -c ../../../src/libgcc/config/libbid/bid128_add.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_div.o -MT bid64_div.o -MD -MP -MF bid64_div.dep -c ../../../src/libgcc/config/libbid/bid64_div.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_div.o -MT bid128_div.o -MD -MP -MF bid128_div.dep -c ../../../src/libgcc/config/libbid/bid128_div.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_mul.o -MT bid64_mul.o -MD -MP -MF bid64_mul.dep -c ../../../src/libgcc/config/libbid/bid64_mul.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_mul.o -MT bid128_mul.o -MD -MP -MF bid128_mul.dep -c ../../../src/libgcc/config/libbid/bid128_mul.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_compare.o -MT bid64_compare.o -MD -MP -MF bid64_compare.dep -c ../../../src/libgcc/config/libbid/bid64_compare.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_compare.o -MT bid128_compare.o -MD -MP -MF bid128_compare.dep -c ../../../src/libgcc/config/libbid/bid128_compare.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128.o -MT bid128.o -MD -MP -MF bid128.dep -c ../../../src/libgcc/config/libbid/bid128.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid32_to_bid64.o -MT bid32_to_bid64.o -MD -MP -MF bid32_to_bid64.dep -c ../../../src/libgcc/config/libbid/bid32_to_bid64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid32_to_bid128.o -MT bid32_to_bid128.o -MD -MP -MF bid32_to_bid128.dep -c ../../../src/libgcc/config/libbid/bid32_to_bid128.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_bid128.o -MT bid64_to_bid128.o -MD -MP -MF bid64_to_bid128.dep -c ../../../src/libgcc/config/libbid/bid64_to_bid128.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_int32.o -MT bid64_to_int32.o -MD -MP -MF bid64_to_int32.dep -c ../../../src/libgcc/config/libbid/bid64_to_int32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_int64.o -MT bid64_to_int64.o -MD -MP -MF bid64_to_int64.dep -c ../../../src/libgcc/config/libbid/bid64_to_int64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_uint32.o -MT bid64_to_uint32.o -MD -MP -MF bid64_to_uint32.dep -c ../../../src/libgcc/config/libbid/bid64_to_uint32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_uint64.o -MT bid64_to_uint64.o -MD -MP -MF bid64_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid64_to_uint64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_int32.o -MT bid128_to_int32.o -MD -MP -MF bid128_to_int32.dep -c ../../../src/libgcc/config/libbid/bid128_to_int32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_int64.o -MT bid128_to_int64.o -MD -MP -MF bid128_to_int64.dep -c ../../../src/libgcc/config/libbid/bid128_to_int64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_uint32.o -MT bid128_to_uint32.o -MD -MP -MF bid128_to_uint32.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_uint64.o -MT bid128_to_uint64.o -MD -MP -MF bid128_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_addsub_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_div_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_mul_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _eq_sd.o -MT _eq_sd.o -MD -MP -MF _eq_sd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_eq_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ne_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_lt_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_gt_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_le_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ge_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_si.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_di.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_usi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_udi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_si_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_di_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_usi_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udi_to_sd.o -MT _udi_to_sd.o -MD -MP -MF _udi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_udi_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_sf.o -MT _sd_to_sf.o -MD -MP -MF _sd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_sf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_sf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_df.o -MT _sd_to_df.o -MD -MP -MF _sd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_df -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_df.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_xf.o -MT _sd_to_xf.o -MD -MP -MF _sd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_xf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_xf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_tf.o -MT _sd_to_tf.o -MD -MP -MF _sd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_tf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_tf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sf_to_sd.o -MT _sf_to_sd.o -MD -MP -MF _sf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sf_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _df_to_sd.o -MT _df_to_sd.o -MD -MP -MF _df_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_df_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _xf_to_sd.o -MT _xf_to_sd.o -MD -MP -MF _xf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_xf_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _tf_to_sd.o -MT _tf_to_sd.o -MD -MP -MF _tf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_tf_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_dd.o -MT _sd_to_dd.o -MD -MP -MF _sd_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_dd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_td.o -MT _sd_to_td.o -MD -MP -MF _sd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_td -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _unord_sd.o -MT _unord_sd.o -MD -MP -MF _unord_sd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_unord_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addsub_dd.o -MT _addsub_dd.o -MD -MP -MF _addsub_dd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_addsub_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _div_dd.o -MT _div_dd.o -MD -MP -MF _div_dd.dep -DFINE_GRAINED_LIBRARIES -DL_div_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_div_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mul_dd.o -MT _mul_dd.o -MD -MP -MF _mul_dd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_mul_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _eq_dd.o -MT _eq_dd.o -MD -MP -MF _eq_dd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_eq_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ne_dd.o -MT _ne_dd.o -MD -MP -MF _ne_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_ne_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lt_dd.o -MT _lt_dd.o -MD -MP -MF _lt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_lt_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gt_dd.o -MT _gt_dd.o -MD -MP -MF _gt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_gt_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _le_dd.o -MT _le_dd.o -MD -MP -MF _le_dd.dep -DFINE_GRAINED_LIBRARIES -DL_le_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_le_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ge_dd.o -MT _ge_dd.o -MD -MP -MF _ge_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_ge_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_si.o -MT _dd_to_si.o -MD -MP -MF _dd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_si -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_si.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_di.o -MT _dd_to_di.o -MD -MP -MF _dd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_di -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_di.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_usi.o -MT _dd_to_usi.o -MD -MP -MF _dd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_usi -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_usi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_udi.o -MT _dd_to_udi.o -MD -MP -MF _dd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_udi -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_udi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _si_to_dd.o -MT _si_to_dd.o -MD -MP -MF _si_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_si_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _di_to_dd.o -MT _di_to_dd.o -MD -MP -MF _di_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_di_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _usi_to_dd.o -MT _usi_to_dd.o -MD -MP -MF _usi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_usi_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udi_to_dd.o -MT _udi_to_dd.o -MD -MP -MF _udi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_udi_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_sf.o -MT _dd_to_sf.o -MD -MP -MF _dd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_sf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_df.o -MT _dd_to_df.o -MD -MP -MF _dd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_df -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_df.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_xf.o -MT _dd_to_xf.o -MD -MP -MF _dd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_xf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_xf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_tf.o -MT _dd_to_tf.o -MD -MP -MF _dd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_tf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_tf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sf_to_dd.o -MT _sf_to_dd.o -MD -MP -MF _sf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_sf_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _df_to_dd.o -MT _df_to_dd.o -MD -MP -MF _df_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_df_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _xf_to_dd.o -MT _xf_to_dd.o -MD -MP -MF _xf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_xf_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _tf_to_dd.o -MT _tf_to_dd.o -MD -MP -MF _tf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_tf_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_sd.o -MT _dd_to_sd.o -MD -MP -MF _dd_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _dd_to_td.o -MT _dd_to_td.o -MD -MP -MF _dd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_td -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _unord_dd.o -MT _unord_dd.o -MD -MP -MF _unord_dd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_unord_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addsub_td.o -MT _addsub_td.o -MD -MP -MF _addsub_td.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_addsub_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _div_td.o -MT _div_td.o -MD -MP -MF _div_td.dep -DFINE_GRAINED_LIBRARIES -DL_div_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_div_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mul_td.o -MT _mul_td.o -MD -MP -MF _mul_td.dep -DFINE_GRAINED_LIBRARIES -DL_mul_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_mul_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _eq_td.o -MT _eq_td.o -MD -MP -MF _eq_td.dep -DFINE_GRAINED_LIBRARIES -DL_eq_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_eq_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ne_td.o -MT _ne_td.o -MD -MP -MF _ne_td.dep -DFINE_GRAINED_LIBRARIES -DL_ne_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_ne_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lt_td.o -MT _lt_td.o -MD -MP -MF _lt_td.dep -DFINE_GRAINED_LIBRARIES -DL_lt_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_lt_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gt_td.o -MT _gt_td.o -MD -MP -MF _gt_td.dep -DFINE_GRAINED_LIBRARIES -DL_gt_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_gt_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _le_td.o -MT _le_td.o -MD -MP -MF _le_td.dep -DFINE_GRAINED_LIBRARIES -DL_le_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_le_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ge_td.o -MT _ge_td.o -MD -MP -MF _ge_td.dep -DFINE_GRAINED_LIBRARIES -DL_ge_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_ge_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_si.o -MT _td_to_si.o -MD -MP -MF _td_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_si -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_si.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_di.o -MT _td_to_di.o -MD -MP -MF _td_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_di -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_di.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_usi.o -MT _td_to_usi.o -MD -MP -MF _td_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_usi -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_usi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_udi.o -MT _td_to_udi.o -MD -MP -MF _td_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_udi -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_udi.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _si_to_td.o -MT _si_to_td.o -MD -MP -MF _si_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_si_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _di_to_td.o -MT _di_to_td.o -MD -MP -MF _di_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_di_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _usi_to_td.o -MT _usi_to_td.o -MD -MP -MF _usi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_usi_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _udi_to_td.o -MT _udi_to_td.o -MD -MP -MF _udi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_udi_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_sf.o -MT _td_to_sf.o -MD -MP -MF _td_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_sf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_df.o -MT _td_to_df.o -MD -MP -MF _td_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_df -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_df.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_xf.o -MT _td_to_xf.o -MD -MP -MF _td_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_xf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_xf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_tf.o -MT _td_to_tf.o -MD -MP -MF _td_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_tf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_tf.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sf_to_td.o -MT _sf_to_td.o -MD -MP -MF _sf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_sf_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _df_to_td.o -MT _df_to_td.o -MD -MP -MF _df_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_df_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _xf_to_td.o -MT _xf_to_td.o -MD -MP -MF _xf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_xf_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _tf_to_td.o -MT _tf_to_td.o -MD -MP -MF _tf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_tf_to_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_sd.o -MT _td_to_sd.o -MD -MP -MF _td_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sd -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _td_to_dd.o -MT _td_to_dd.o -MD -MP -MF _td_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_dd -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_dd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _unord_td.o -MT _unord_td.o -MD -MP -MF _unord_td.dep -DFINE_GRAINED_LIBRARIES -DL_unord_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_unord_td.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o cpuinfo.o -MT cpuinfo.o -MD -MP -MF cpuinfo.dep -c ../../../src/libgcc/config/i386/cpuinfo.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sfp-exceptions.o -MT sfp-exceptions.o -MD -MP -MF sfp-exceptions.dep -c ../../../src/libgcc/config/i386/sfp-exceptions.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -msse2 -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -c ../../../src/libgcc/config/i386/_divhc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -msse2 -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -c ../../../src/libgcc/config/i386/_mulhc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o addtf3.o -MT addtf3.o -MD -MP -MF addtf3.dep -c ../../../src/libgcc/soft-fp/addtf3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o divtf3.o -MT divtf3.o -MD -MP -MF divtf3.dep -c ../../../src/libgcc/soft-fp/divtf3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o multf3.o -MT multf3.o -MD -MP -MF multf3.dep -c ../../../src/libgcc/soft-fp/multf3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o negtf2.o -MT negtf2.o -MD -MP -MF negtf2.dep -c ../../../src/libgcc/soft-fp/negtf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o subtf3.o -MT subtf3.o -MD -MP -MF subtf3.dep -c ../../../src/libgcc/soft-fp/subtf3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o unordtf2.o -MT unordtf2.o -MD -MP -MF unordtf2.dep -c ../../../src/libgcc/soft-fp/unordtf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfsi.o -MT fixtfsi.o -MD -MP -MF fixtfsi.dep -c ../../../src/libgcc/soft-fp/fixtfsi.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfsi.o -MT fixunstfsi.o -MD -MP -MF fixunstfsi.dep -c ../../../src/libgcc/soft-fp/fixunstfsi.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatsitf.o -MT floatsitf.o -MD -MP -MF floatsitf.dep -c ../../../src/libgcc/soft-fp/floatsitf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunsitf.o -MT floatunsitf.o -MD -MP -MF floatunsitf.dep -c ../../../src/libgcc/soft-fp/floatunsitf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfdi.o -MT fixtfdi.o -MD -MP -MF fixtfdi.dep -c ../../../src/libgcc/soft-fp/fixtfdi.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfdi.o -MT fixunstfdi.o -MD -MP -MF fixunstfdi.dep -c ../../../src/libgcc/soft-fp/fixunstfdi.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatditf.o -MT floatditf.o -MD -MP -MF floatditf.dep -c ../../../src/libgcc/soft-fp/floatditf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunditf.o -MT floatunditf.o -MD -MP -MF floatunditf.dep -c ../../../src/libgcc/soft-fp/floatunditf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfti.o -MT fixtfti.o -MD -MP -MF fixtfti.dep -c ../../../src/libgcc/soft-fp/fixtfti.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfti.o -MT fixunstfti.o -MD -MP -MF fixunstfti.dep -c ../../../src/libgcc/soft-fp/fixunstfti.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floattitf.o -MT floattitf.o -MD -MP -MF floattitf.dep -c ../../../src/libgcc/soft-fp/floattitf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntitf.o -MT floatuntitf.o -MD -MP -MF floatuntitf.dep -c ../../../src/libgcc/soft-fp/floatuntitf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfsf2.o -MT extendhfsf2.o -MD -MP -MF extendhfsf2.dep -c ../../../src/libgcc/soft-fp/extendhfsf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfdf2.o -MT extendhfdf2.o -MD -MP -MF extendhfdf2.dep -c ../../../src/libgcc/soft-fp/extendhfdf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhftf2.o -MT extendhftf2.o -MD -MP -MF extendhftf2.dep -c ../../../src/libgcc/soft-fp/extendhftf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o extendhfxf2.o -MT extendhfxf2.o -MD -MP -MF extendhfxf2.dep -c ../../../src/libgcc/soft-fp/extendhfxf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsfdf2.o -MT extendsfdf2.o -MD -MP -MF extendsfdf2.dep -c ../../../src/libgcc/soft-fp/extendsfdf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsftf2.o -MT extendsftf2.o -MD -MP -MF extendsftf2.dep -c ../../../src/libgcc/soft-fp/extendsftf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extenddftf2.o -MT extenddftf2.o -MD -MP -MF extenddftf2.dep -c ../../../src/libgcc/soft-fp/extenddftf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o extendxftf2.o -MT extendxftf2.o -MD -MP -MF extendxftf2.dep -c ../../../src/libgcc/soft-fp/extendxftf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o trunctfhf2.o -MT trunctfhf2.o -MD -MP -MF trunctfhf2.dep -c ../../../src/libgcc/soft-fp/trunctfhf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncxfhf2.o -MT truncxfhf2.o -MD -MP -MF truncxfhf2.dep -c ../../../src/libgcc/soft-fp/truncxfhf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncdfhf2.o -MT truncdfhf2.o -MD -MP -MF truncdfhf2.dep -c ../../../src/libgcc/soft-fp/truncdfhf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o truncsfhf2.o -MT truncsfhf2.o -MD -MP -MF truncsfhf2.dep -c ../../../src/libgcc/soft-fp/truncsfhf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfsf2.o -MT trunctfsf2.o -MD -MP -MF trunctfsf2.dep -c ../../../src/libgcc/soft-fp/trunctfsf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o truncdfsf2.o -MT truncdfsf2.o -MD -MP -MF truncdfsf2.dep -c ../../../src/libgcc/soft-fp/truncdfsf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfdf2.o -MT trunctfdf2.o -MD -MP -MF trunctfdf2.dep -c ../../../src/libgcc/soft-fp/trunctfdf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfxf2.o -MT trunctfxf2.o -MD -MP -MF trunctfxf2.dep -c ../../../src/libgcc/soft-fp/trunctfxf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o fixhfti.o -MT fixhfti.o -MD -MP -MF fixhfti.dep -c ../../../src/libgcc/soft-fp/fixhfti.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o fixunshfti.o -MT fixunshfti.o -MD -MP -MF fixunshfti.dep -c ../../../src/libgcc/soft-fp/fixunshfti.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o floattihf.o -MT floattihf.o -MD -MP -MF floattihf.dep -c ../../../src/libgcc/soft-fp/floattihf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntihf.o -MT floatuntihf.o -MD -MP -MF floatuntihf.dep -c ../../../src/libgcc/soft-fp/floatuntihf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -msse2 -o eqhf2.o -MT eqhf2.o -MD -MP -MF eqhf2.dep -c ../../../src/libgcc/soft-fp/eqhf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o getf2.o -MT getf2.o -MD -MP -MF getf2.dep -c ../../../src/libgcc/config/i386/64/getf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o letf2.o -MT letf2.o -MD -MP -MF letf2.dep -c ../../../src/libgcc/config/i386/64/letf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -Wno-missing-prototypes -Wno-type-limits -o eqtf2.o -MT eqtf2.o -MD -MP -MF eqtf2.dep -c ../../../src/libgcc/config/i386/64/eqtf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -c ../../../src/libgcc/config/i386/64/_divtc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -c ../../../src/libgcc/config/i386/64/_multc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -c ../../../src/libgcc/config/i386/64/_powitf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64.o -MT avx_savms64.o -MD -MP -MF avx_savms64.dep -c -xassembler-with-cpp -include avx_savms64.vis ../../../src/libgcc/config/i386/avx_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64.o -MT avx_resms64.o -MD -MP -MF avx_resms64.dep -c -xassembler-with-cpp -include avx_resms64.vis ../../../src/libgcc/config/i386/avx_resms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x.o -MT avx_resms64x.o -MD -MP -MF avx_resms64x.dep -c -xassembler-with-cpp -include avx_resms64x.vis ../../../src/libgcc/config/i386/avx_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64f.o -MT avx_savms64f.o -MD -MP -MF avx_savms64f.dep -c -xassembler-with-cpp -include avx_savms64f.vis ../../../src/libgcc/config/i386/avx_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64f.o -MT avx_resms64f.o -MD -MP -MF avx_resms64f.dep -c -xassembler-with-cpp -include avx_resms64f.vis ../../../src/libgcc/config/i386/avx_resms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64fx.o -MT avx_resms64fx.o -MD -MP -MF avx_resms64fx.dep -c -xassembler-with-cpp -include avx_resms64fx.vis ../../../src/libgcc/config/i386/avx_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64.o -MT sse_savms64.o -MD -MP -MF sse_savms64.dep -c -xassembler-with-cpp -include sse_savms64.vis ../../../src/libgcc/config/i386/sse_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64.o -MT sse_resms64.o -MD -MP -MF sse_resms64.dep -c -xassembler-with-cpp -include sse_resms64.vis ../../../src/libgcc/config/i386/sse_resms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64x.o -MT sse_resms64x.o -MD -MP -MF sse_resms64x.dep -c -xassembler-with-cpp -include sse_resms64x.vis ../../../src/libgcc/config/i386/sse_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64f.o -MT sse_savms64f.o -MD -MP -MF sse_savms64f.dep -c -xassembler-with-cpp -include sse_savms64f.vis ../../../src/libgcc/config/i386/sse_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64f.o -MT sse_resms64f.o -MD -MP -MF sse_resms64f.dep -c -xassembler-with-cpp -include sse_resms64f.vis ../../../src/libgcc/config/i386/sse_resms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64fx.o -MT sse_resms64fx.o -MD -MP -MF sse_resms64fx.dep -c -xassembler-with-cpp -include sse_resms64fx.vis ../../../src/libgcc/config/i386/sse_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o morestack.o -MT morestack.o -MD -MP -MF morestack.dep -c -xassembler-with-cpp -include morestack.vis ../../../src/libgcc/config/i386/morestack.S rm -f libgcov.a objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ x86_64-linux-gnu-ar rc libgcov.a $objects rm -f libgcc_eh.a objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ x86_64-linux-gnu-ar rc libgcc_eh.a $objects # Early copyback; see "all" above for the rationale. The # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. ../../../src/libgcc/config/i386/morestack.S: Assembler messages: ../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done { /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ cat libgcc.map.in; \ } | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map x86_64-linux-gnu-ranlib libgcc_eh.a x86_64-linux-gnu-ranlib libgcov.a mv tmp-libgcc.map libgcc.map rm -f libgcc.a objects="_muldi3.o _negdi2.o _lshrdi3.o _ashldi3.o _ashrdi3.o _cmpdi2.o _ucmpdi2.o _clear_cache.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _clzsi2.o _clzdi2.o _ctzsi2.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _mulsc3.o _muldc3.o _mulxc3.o _divsc3.o _divdc3.o _divxc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatundisf.o _floatundidf.o _floatundixf.o _eprintf.o __gcc_bcmp.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o bid_decimal_globals.o bid_decimal_data.o bid_binarydecimal.o bid_convert_data.o _isinfd32.o _isinfd64.o _isinfd128.o bid64_noncomp.o bid128_noncomp.o bid128_fma.o bid_round.o bid_from_int.o bid64_add.o bid128_add.o bid64_div.o bid128_div.o bid64_mul.o bid128_mul.o bid64_compare.o bid128_compare.o bid128.o bid32_to_bid64.o bid32_to_bid128.o bid64_to_bid128.o bid64_to_int32.o bid64_to_int64.o bid64_to_uint32.o bid64_to_uint64.o bid128_to_int32.o bid128_to_int64.o bid128_to_uint32.o bid128_to_uint64.o _addsub_sd.o _div_sd.o _mul_sd.o _eq_sd.o _ne_sd.o _lt_sd.o _gt_sd.o _le_sd.o _ge_sd.o _sd_to_si.o _sd_to_di.o _sd_to_usi.o _sd_to_udi.o _si_to_sd.o _di_to_sd.o _usi_to_sd.o _udi_to_sd.o _sd_to_sf.o _sd_to_df.o _sd_to_xf.o _sd_to_tf.o _sf_to_sd.o _df_to_sd.o _xf_to_sd.o _tf_to_sd.o _sd_to_dd.o _sd_to_td.o _unord_sd.o _addsub_dd.o _div_dd.o _mul_dd.o _eq_dd.o _ne_dd.o _lt_dd.o _gt_dd.o _le_dd.o _ge_dd.o _dd_to_si.o _dd_to_di.o _dd_to_usi.o _dd_to_udi.o _si_to_dd.o _di_to_dd.o _usi_to_dd.o _udi_to_dd.o _dd_to_sf.o _dd_to_df.o _dd_to_xf.o _dd_to_tf.o _sf_to_dd.o _df_to_dd.o _xf_to_dd.o _tf_to_dd.o _dd_to_sd.o _dd_to_td.o _unord_dd.o _addsub_td.o _div_td.o _mul_td.o _eq_td.o _ne_td.o _lt_td.o _gt_td.o _le_td.o _ge_td.o _td_to_si.o _td_to_di.o _td_to_usi.o _td_to_udi.o _si_to_td.o _di_to_td.o _usi_to_td.o _udi_to_td.o _td_to_sf.o _td_to_df.o _td_to_xf.o _td_to_tf.o _sf_to_td.o _df_to_td.o _xf_to_td.o _tf_to_td.o _td_to_sd.o _td_to_dd.o _unord_td.o cpuinfo.o sfp-exceptions.o _divhc3.o _mulhc3.o addtf3.o divtf3.o multf3.o negtf2.o subtf3.o unordtf2.o fixtfsi.o fixunstfsi.o floatsitf.o floatunsitf.o fixtfdi.o fixunstfdi.o floatditf.o floatunditf.o fixtfti.o fixunstfti.o floattitf.o floatuntitf.o extendhfsf2.o extendhfdf2.o extendhftf2.o extendhfxf2.o extendsfdf2.o extendsftf2.o extenddftf2.o extendxftf2.o trunctfhf2.o truncxfhf2.o truncdfhf2.o truncsfhf2.o trunctfsf2.o truncdfsf2.o trunctfdf2.o trunctfxf2.o fixhfti.o fixunshfti.o floattihf.o floatuntihf.o eqhf2.o getf2.o letf2.o eqtf2.o _divtc3.o _multc3.o _powitf2.o enable-execute-stack.o avx_savms64.o avx_resms64.o avx_resms64x.o avx_savms64f.o avx_resms64f.o avx_resms64fx.o sse_savms64.o sse_resms64.o sse_resms64x.o sse_savms64f.o sse_resms64f.o sse_resms64fx.o generic-morestack.o generic-morestack-thread.o morestack.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ x86_64-linux-gnu-ar rc libgcc.a $objects x86_64-linux-gnu-ranlib libgcc.a # @multilib_flags@ is still needed because this may use # /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/sh ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a x86_64-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' # For some reason, on the i386 architecture only, it decides to delete # one important build result. Just rerun make as a workaround. /usr/bin/make -j16 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' /usr/bin/make all-am make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' true DO=all multi-do # /usr/bin/make make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "default_paths.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "ghdlcomp.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "grt-c.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "grt-table.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /usr/bin/make all-am make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' # If this is the top-level multilib, build all the other # multilibs. make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/sh ./config.status # Early copyback; see "all" above for the rationale. The # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done config.status: creating auto-target.h config.status: auto-target.h is unchanged config.status: executing default commands # @multilib_flags@ is still needed because this may use # /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/sh ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a x86_64-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc ghdllib \ GHDL_GCC_BIN=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1" make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl" GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/std/v87/std-obj87.cf cd lib/ghdl/gcc/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/gcc/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/gcc/ieee/v87/ieee-obj87.cf cd lib/ghdl/gcc/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/gcc/std/v93/std-obj93.cf cd lib/ghdl/gcc/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/gcc/ieee/v93/ieee-obj93.cf cd lib/ghdl/gcc/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/gcc/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/src/std/env-body.vhdl rm -f -f lib/ghdl/gcc/std/v08/std-obj08.cf cd lib/ghdl/gcc/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/gcc/ieee/v08/ieee-obj08.cf cd lib/ghdl/gcc/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/src/ieee2008/LICENSE cd lib/ghdl/gcc/std/v87; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found cd lib/ghdl/gcc/std/v93; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found cd lib/ghdl/gcc/std/v08; /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o jumps.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c:132:1: warning: 'grt_overflow_handler' defined but not used [-Wunused-function] 132 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o times.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/config/times.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvhpi.c In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvhpi.c:33: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o grt-cdynload.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fstapi.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o lz4.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/lz4.c gcc-12 -c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o fastlz.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/ghdl_main.adb ghdl_main.adb:42:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:42:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:42:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-main.adb "grt-options.ali" being checked ... -> "grt-options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-options.adb "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_binding.ads "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-std_logic_1164.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis.adb "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-change_generics.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files.adb "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-hooks.adb "grt-images.ali" being checked ... -> "grt-images.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-images.adb "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-lib.adb "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-modules.adb "grt-names.ali" being checked ... -> "grt-names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-names.adb "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-processes.adb "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-shadow_ieee.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-signals.adb "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "grt-values.ali" being checked ... -> "grt-values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-values.adb "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_tree.adb "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst.adb "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-psl.adb "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcd.adb "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vcdz.adb "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vhpi.adb "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vital_annotate.adb "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_utils.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-backtraces-gcc.ali" being checked ... -> "grt-backtraces-gcc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-gcc.adb "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_types.adb "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/version.ads "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. x86_64-linux-gnu-gcc-12 -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/ -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/libgrt.a ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/libgrt.a gcc-12 -c -fPIC -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "lib/libghdl-2_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/libghdl/libghdl.adb "errorout.ali" being checked ... -> "errorout.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout-memory.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/files_map.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/files_map-editor.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map-editor.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlcomp.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdllocal.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdllocal.adb "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/options.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "vhdl.ali" being checked ... -> "vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-formatters.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlsynth_maybe.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlsynth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlsynth.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_tables.adb "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libraries.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-dump_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/simple_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/std_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-disp_tree.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-errors.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "grt.ali" being checked ... -> "grt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/default_paths.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/default_paths.ads "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_insts.adb "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/errorout-console.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/errorout-console.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/ghdlmain.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlmain.adb "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-dump.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-inference.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synthesis.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/version.ads "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-annotations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-configuration.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-std_package.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_files.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-cleanup.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-expands.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-source.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-environment-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-static_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb "flists.ali" being checked ... -> "flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI. -aO/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-12 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-12 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/build-gcc dh override_dh_auto_build make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' debian/rules override_dh_auto_test make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_testdir ------------------------------------------------------------ Run testsuite for mcode backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl_mcode" final executable "ghdl_jit.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlrun.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "ortho_jit.ali" being checked ... "default_paths.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "simple_io.ali" being checked ... "std_names.ali" being checked ... "version.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "options.ali" being checked ... "types.ali" being checked ... "vhdl-nodes.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "dyn_tables.ali" being checked ... "tables.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "foreigns.ali" being checked ... "ghdlcomp.ali" being checked ... "grt.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-backtraces-jit.ali" being checked ... "grt-dynload.ali" being checked ... "grt-errors.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-options.ali" being checked ... "grt-processes.ali" being checked ... "grt-rtis.ali" being checked ... "grt-signals.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-values.ali" being checked ... "grtlink.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "ortho_nodes.ali" being checked ... "trans_be.ali" being checked ... "trans_decls.ali" being checked ... "translation.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "ghdlsynth.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "binary_file.ali" being checked ... "binary_file-format.ali" being checked ... "binary_file-memory.ali" being checked ... "ortho_code.ali" being checked ... "ortho_code-abi.ali" being checked ... "ortho_code-debug.ali" being checked ... "ortho_code-dwarf.ali" being checked ... "ortho_code-flags.ali" being checked ... "ortho_mcode.ali" being checked ... "ortho_mcode-jit.ali" being checked ... "symbolizer.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "psl.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nodes.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "psl-types.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-dump_tree.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "vhdl-flists.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "grt-vstrings.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-c.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-severity.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-stats.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "ortho_ident.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "disassemble.ali" being checked ... "hex_images.ali" being checked ... "memsegs.ali" being checked ... "binary_file-elf.ali" being checked ... "ortho_code-x86.ali" being checked ... "ortho_code-x86-abi.ali" being checked ... "dwarf.ali" being checked ... "ortho_code-binary.ali" being checked ... "ortho_code-consts.ali" being checked ... "ortho_code-decls.ali" being checked ... "ortho_code-types.ali" being checked ... "ortho_code-exprs.ali" being checked ... "psl-hash.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-nodes_priv.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "lists.ali" being checked ... "flists.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "disa_x86.ali" being checked ... "memsegs_mmap.ali" being checked ... "elf32.ali" being checked ... "elf64.ali" being checked ... "elf_arch.ali" being checked ... "elf_common.ali" being checked ... "ortho_code-disps.ali" being checked ... "ortho_code-x86-emits.ali" being checked ... "ortho_code-x86-insns.ali" being checked ... "ortho_code-x86-flags.ali" being checked ... "ortho_code-opts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-subsets.ali" being checked ... "trans_analyzes.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "elf_arch64.ali" being checked ... "ortho_code-x86-flags_linux64.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl_mcode" up to date. install -m 755 ghdl_mcode /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/$d; \ install -m 644 -p \ lib/ghdl/mcode/$d/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/$d; \ done install: omitting directory 'lib/ghdl/mcode/src/ieee/v87' install: omitting directory 'lib/ghdl/mcode/src/ieee/v93' install: omitting directory 'lib/ghdl/mcode/src/std/v08' install: omitting directory 'lib/ghdl/mcode/src/std/v87' install: omitting directory 'lib/ghdl/mcode/src/std/v93' install: omitting directory 'lib/ghdl/mcode/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/; mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/vendors/ install -m 755 -p lib/libghdlvpi.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/ install -m 755 -p libghdl.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/ install -m 644 -p libghdl.link /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/ install -m 644 -p ../../src/synth/include/synth.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ install -m 755 -p lib/libghw.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/ install -m 644 -p ../../ghw/libghw.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/include/ghdl/ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode --disp-standard --std=87 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/src/std/v87/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode --disp-standard --std=93 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/src/std/v93/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode --disp-standard --std=08 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/lib/ghdl/mcode/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' debian/tests/ghdl-tests buildtest mcode > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode GHDL 2.0.0 (Debian 2.0.0+dfsg-6.2) [Dunoon edition] Compiled with GNAT Version: 12.2.0 mcode code generator Written by Tristan Gingold. Copyright (C) 2003 - 2022 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/mcode/usr/bin/ghdl-mcode COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT aliases: --elab-run, -r, run compile [OPTS] FILEs -e|-r UNIT [ARCH] [RUNOPTS] Compile, elaborate (and run) design UNIT alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for UNIT alias: --gen-makefile disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig run-help Display help for RUNOPTS options alias: --run-help import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove copy Copy work library to current directory alias: --copy disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version opts-help Display help for analyzer options alias: --options-help To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 16 test workers. sanity 005examples: ok sanity 000hello: ok sanity 006upf: ok sanity 002hello2008: ok sanity 004all08: ok sanity 001hello87: ok sanity tests are successful [GHDL - test] gna ..Running with 16 test workers. gna bug034: ok gna bug01: ok gna bug079: ok gna issue1500: ok gna issue1364: ok gna issue381: ok gna issue151: ok gna issue772: ok gna bug18810: ok gna issue26: ok gna ticket52: ok gna issue1370: ok gna issue1514: ok gna issue521: ok gna bug010: ok gna issue1128: ok gna bug19195: ok gna issue1371: ok gna issue922: ok gna issue643: ok gna issue261: ok gna issue1515: ok gna issue1897: ok gna ticket53: ok gna issue1517: ok gna issue776: ok gna bug20255: ok gna issue522: ok gna issue382: ok gna issue1708: ok gna ticket54: ok gna issue262: ok gna issue152: ok gna issue384: ok gna issue645: ok gna issue777: ok gna issue524: ok gna bug20312: ok gna issue779: ok gna issue1898: ok gna issue1129: ok gna issue387: ok gna ticket55: ok gna issue388: ok gna issue525: ok gna issue1715: ok gna bug20549: ok gna issue1523: ok gna bug08: ok gna issue190: ok gna issue389: ok gna ticket56: ok gna bug20597: ok gna issue1717: ok gna issue646: ok gna bug080: ok gna issue1376: ok gna issue263: ok gna issue961: ok gna issue264: ok gna issue392: ok gna bug035: ok gna ticket57: ok gna bug20703: ok gna issue1528: ok gna issue1377: ok gna issue1718: ok gna issue529: ok gna bug081: ok gna ticket58: ok gna bug036: ok gna bug0100: ok gna issue265: ok gna issue780: ok gna issue648: ok gna issue394: ok gna bug082: ok gna bug20767: ok gna issue1908: ok gna bug0101: ok gna issue652: ok gna ticket59: ok gna issue1379: ok gna issue191: ok gna issue786: ok gna issue395: ok gna issue530: ok gna bug20771: ok gna issue654: ok gna bug0103: ok gna issue268: ok gna issue1721: ok gna issue787: ok gna issue397: ok gna ticket61: ok gna bug083: ok gna bug0104: ok gna issue40: ok gna issue1381: ok gna issue1723: ok gna issue788: ok gna issue273: ok gna bug21052: ok gna issue1384: ok gna issue1913: ok gna issue400: ok gna issue278: ok gna issue283: ok gna bug21078: ok gna ticket62: ok gna issue1724: ok gna issue660: ok gna issue791: ok gna bug21274: ok gna bug0105: ok gna issue1385: ok gna ticket63: ok gna issue98: ok gna issue290: ok gna bug0106: ok gna issue792: ok gna issue1726: ok gna issue1386: ok gna issue794: ok gna issue1727: ok gna issue663: ok gna bug21332: ok gna bug0108: ok gna ticket64: ok gna issue406: ok gna issue666: ok gna issue1131: ok gna bug21487: ok gna issue1387: ok gna issue983: ok gna ticket65: ok gna bug21497: ok gna issue531: ok gna issue407: ok gna issue1389: ok gna bug084: ok gna issue1914: ok gna issue535: ok gna issue413: ok gna issue795: ok gna issue1919: ok gna bug085: ok gna issue1392: ok gna bug21500: ok gna issue984: ok gna issue1549: ok gna issue1137: ok gna issue1736: ok gna issue797: ok gna bug21513: ok gna bug086: ok gna issue99: ok gna bug087: ok gna issue155: ok gna issue803: ok gna issue1751: ok gna bug22868: ok gna bug088: ok gna issue542: ok gna issue156: ok gna issue14: ok gna ticket66: ok gna bug23013: ok gna lsp27: ok gna issue1138: ok gna issue140: ok gna bug0109: ok gna issue543: ok gna bug037: ok gna bug09: ok gna ticket67: ok gna issue1757: ok gna issue807: ok gna issue1403: ok gna issue158: ok gna issue544: ok gna bug039: ok gna ticket68: ok gna issue293: ok gna issue810: ok gna issue1588: ok gna bug04: ok gna issue546: ok gna issue813: ok gna issue295: ok gna issue1759: ok gna issue816: ok gna issue1404: ok gna issue1589: ok gna ticket69: ok gna issue817: ok gna issue1145: ok gna issue1593: ok gna issue1152: ok gna issue547: ok gna bug23165: ok gna bug090: ok gna ticket70: ok gna issue1597: ok gna bug092: ok gna issue418: ok gna bug23482: ok gna issue552: ok gna issue1405: ok gna bug093: ok gna ticket71: ok gna issue419: ok gna issue141: ok gna issue668: ok gna issue553: ok gna issue1924: ok gna issue1412: ok gna issue42: ok gna ticket73: ok gna issue558: ok gna bug011: ok gna issue1415: ok gna issue1191: ok gna issue67: ok gna issue1934: ok gna issue1196: ok gna ticket74: ok gna issue1416: ok gna issue160: ok gna issue1935: ok gna issue424: ok gna issue559: ok gna bug0110: ok gna ticket75: ok gna bug24064: ok gna bug094: ok gna issue43: ok gna bug0111: ok gna issue1612: ok gna issue1201: ok gna issue1943: ok gna issue1623: ok gna bug24065: ok gna issue176: ok gna ticket76: ok gna issue1206: ok gna issue1953: ok gna bug24324: ok gna issue560: ok gna bug096: ok gna issue1624: ok gna issue439: ok gna bug0112: ok gna issue1419: ok gna issue1979: ok gna issue1764: ok gna bug24326: ok gna issue1224: ok gna bug7186: ok gna issue1765: ok gna ticket77: ok gna issue672: ok gna issue1768: ok gna issue818: ok gna issue44: ok gna issue30: ok gna bug7751: ok gna issue1420: ok gna ticket78: ok gna issue821: ok gna bug097: ok gna deb573721: ok gna ticket79: ok gna bug098: ok gna issue440: ok gna bug099: ok gna issue441: ok gna issue676: ok gna issue300: ok gna issue447: ok gna ticket81: ok gna deb585748: ok gna issue679: ok gna issue828: ok gna ticket83: ok gna issue1980: ok gna issue1429: ok gna issue449: ok gna bug14953: ok gna issue830: ok gna issue143: ok gna issue199: ok gna issue683: ok gna bug15638: ok gna issue838: ok gna deb585750: ok gna issue561: ok gna ticket84: ok gna issue1625: ok gna bug15702: ok gna issue1226: ok gna gls7: ok gna bug15933: ok gna ticket86: ok gna issue1431: ok gna issue563: ok gna issue163: ok gna bug15966: ok gna issue144: ok gna issue578: ok gna ticket87: ok gna issue685: ok gna issue10: ok gna bug0114: ok gna issue1631: ok gna ticket88: ok gna issue1015: ok gna issue1637: ok gna issue584: ok gna issue1639: ok gna issue103: ok gna bug0115: ok gna ticket9: ok gna issue1640: ok gna bug15993: ok gna issue1646: ok gna issue586: ok gna bug16095: ok gna ticket90: ok gna issue1771: ok gna bug16096: ok gna bug16144: ok gna issue1654: ok gna issue598: ok gna issue1228: ok gna issue301: ok gna issue1038: ok gna issue45: ok gna issue1229: ok gna issue1772: ok gna issue606: ok gna issue309: ok gna bug16287: ok gna issue609: ok gna bug0117: ok gna issue1655: ok gna issue1055: ok gna issue2: ok gna issue310: ok gna issue123: ok gna issue1057: ok gna bug0118: ok gna issue1440: ok gna ticket92: ok gna issue312: ok gna issue610: ok gna bug012: ok gna issue687: ok gna issue1657: ok gna ticket93: ok gna issue20: ok gna issue1443: ok gna issue1664: ok gna issue201: ok gna bug0120: ok gna bug16695: ok gna bug0122: ok gna issue1779: ok gna issue1667: ok gna bug16782: ok gna issue314: ok gna issue167: ok gna issue316: ok gna issue18: ok gna issue450: ok gna issue852: ok gna bug17127: ok gna bug0123: ok gna issue857: ok gna issue451: ok gna bug17202: ok gna issue1810: ok gna issue689: ok gna bug0124: ok gna issue613: ok gna issue1453: ok gna issue202: ok gna issue860: ok gna issue456: ok gna bug17203: ok gna bug0125: ok gna issue204: ok gna issue1672: ok gna issue864: ok gna issue458: ok gna bug0126: ok gna issue69: ok gna issue869: ok gna issue205: ok gna issue690: ok gna issue1233: ok gna issue1677: ok gna issue1455: ok gna issue873: ok gna issue691: ok gna bug014: ok gna issue207: ok gna issue1456: ok gna issue1684: ok gna issue1814: ok gna issue106: ok gna issue615: ok gna ticket94: ok gna issue1687: ok gna bug015: ok gna issue209: ok gna issue1461: ok gna issue874: ok gna ticket95: ok gna issue212: ok gna issue459: ok gna issue1688: ok gna issue1063: ok gna issue875: ok gna bug016: ok gna issue692: ok gna ticket96: ok gna issue1066: ok gna issue616: ok gna ticket97: ok gna issue1818: ok gna issue877: ok gna issue1240: ok gna issue216: ok gna issue1469: ok gna issue694: ok gna issue1246: ok gna issue223: ok gna issue1820: ok gna issue618: ok gna ticket98: ok gna issue695: ok gna issue147: ok gna issue1689: ok gna issue1823: ok gna issue461: ok gna issue1473: ok gna issue1249: ok gna issue880: ok gna issue1824: ok gna issue225: ok gna issue620: ok gna issue465: ok gna issue697: ok gna issue1690: ok gna issue125: ok gna issue621: ok gna issue1252: ok gna issue705: ok gna issue467: ok gna issue1691: ok gna issue623: ok gna issue470: ok gna issue1831: ok gna issue1474: ok gna issue707: ok gna issue227: ok gna issue148: ok gna issue881: ok gna issue1697: ok gna issue626: ok gna issue708: ok gna issue471: ok gna bug040: ok gna issue1480: ok gna issue627: ok gna issue472: ok gna bug041: ok gna issue1485: ok gna issue71: ok gna issue630: ok gna issue1490: ok gna issue228: ok gna issue631: ok gna bug042: ok gna issue1832: ok gna issue885: ok gna issue710: ok gna issue632: ok gna bug043: ok gna issue233: ok gna issue634: ok gna bug044: ok gna issue1067: ok gna issue473: ok gna issue235: ok gna issue886: ok gna issue1833: ok gna issue17: ok gna issue474: ok gna issue107: ok gna issue1700: ok gna issue1834: ok gna issue1493: ok gna issue713: ok gna bug045: ok gna issue1256: ok gna bug046: ok gna issue1704: ok gna bug047: ok gna issue1120: ok gna issue476: ok gna issue15: ok gna bug048: ok gna issue1836: ok gna issue478: ok gna issue1837: ok gna bug049: ok gna issue1123: ok gna issue150: ok gna issue1257: ok gna issue887: ok gna issue126: ok gna bug05: ok gna issue635: ok gna bug017: ok gna issue1843: ok gna issue237: ok gna issue636: ok gna bug018: ok gna issue899: ok gna issue637: ok gna issue1844: ok gna issue1125: ok gna issue9: ok gna bug050: ok gna bug051: ok gna issue912: ok gna issue238: ok gna issue1262: ok gna issue1857: ok gna bug052: ok gna issue1268: ok gna issue241: ok gna issue913: ok gna issue1269: ok gna bug053: ok gna issue242: ok gna issue1274: ok gna bug17309: ok gna bug054: ok gna issue1862: ok gna issue243: ok gna issue715: ok gna issue1864: ok gna issue1287: ok gna issue717: ok gna bug055: ok gna bug17545: ok gna bug019: ok gna issue1288: ok gna issue916: ok gna bug056: ok gna issue244: ok gna bug17759: ok gna issue1867: ok gna issue25: ok gna issue1292: ok gna issue917: ok gna bug02: ok gna bug057: ok gna issue918: ok gna issue253: ok gna bug18280: ok gna bug021: ok gna issue718: ok gna issue1872: ok gna bug058: ok gna issue1875: ok gna issue1295: ok gna bug18351: ok gna bug022: ok gna issue719: ok gna issue254: ok gna bug059: ok gna issue1876: ok gna bug023: ok gna issue1300: ok gna bug18353: ok gna bug06: ok gna issue72: ok gna issue256: ok gna bug024: ok gna bug18359: ok gna issue132: ok gna bug18360: ok gna issue729: ok gna bug026: ok gna issue257: ok gna bug060: ok gna bug18361: ok gna bug027: ok gna issue73: ok gna bug028: ok gna issue1323: ok gna bug18659: ok gna issue1881: ok gna issue258: ok gna bug029: ok gna issue1326: ok gna issue259: ok gna bug061: ok gna issue1883: ok gna issue731: ok gna bug03: ok gna issue734: ok gna issue1894: ok gna issue1338: ok gna issue735: ok gna bug030: ok gna bug031: ok gna issue1346: ok gna bug062: ok gna issue736: ok gna issue1347: ok gna bug063: ok gna bug064: ok gna bug032: ok gna bug065: ok gna issue737: ok gna bug033: ok gna bug066: ok gna issue747: ok gna bug067: ok gna issue1354: ok gna bug069: ok gna issue136: ok gna bug07: ok gna bug071: ok gna issue1360: ok gna bug072: ok gna issue756: ok gna bug073: ok gna bug074: ok gna issue641: ok gna bug075: ok gna issue642: ok gna bug077: ok gna bug078: ok gna issue1361: ok gna issue1362: ok gna issue50: ok gna issue516: ok gna issue520: ok gna issue317: ok gna issue320: ok gna issue321: ok gna issue326: ok gna issue328: ok gna issue332: ok gna issue333: ok gna issue339: ok gna issue349: ok gna issue351: ok gna issue356: ok gna issue361: ok gna issue367: ok gna issue368: ok gna issue369: ok gna issue370: ok gna issue371: ok gna issue372: ok gna issue373: ok gna issue374: ok gna issue375: ok gna issue376: ok gna issue379: ok gna issue38: ok gna perf02-long: ok gna sr2553: ok gna sr2655: ok gna sr2676: ok gna sr2737: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna ticket104: ok gna ticket109: ok gna ticket11: ok gna ticket14: ok gna ticket15: ok gna ticket18: ok gna ticket19: ok gna ticket20: ok gna ticket24: ok gna ticket26: ok gna ticket29: ok gna ticket30: ok gna ticket31: ok gna ticket32: ok gna ticket35: ok gna ticket37: ok gna ticket38: ok gna ticket39: ok gna ticket40: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna tests are successful [GHDL - test] vests Vests is OK 27775 vests.log [GHDL - test] synth ..Running with 16 test workers. synth issue1251: ok synth issue1107: ok synth issue1050: ok synth issue1207: ok synth issue1596: ok synth issue1313: ok synth issue1162: ok synth issue1889: ok synth issue965: ok synth issue1208: ok synth issue1253: ok synth synth44: ok synth issue1109: ok synth issue1209: ok synth issue1254: ok synth issue1113: ok synth issue1210: ok synth issue1899: ok synth issue1054: ok synth issue1314: ok synth issue1903: ok synth issue972: ok synth issue1316: ok synth issue1114: ok synth synth45: ok synth issue1609: ok synth issue1317: ok synth issue1163: ok synth issue412: ok synth issue1318: ok synth issue1116: ok synth issue1413: ok synth synth47: ok synth issue1628: ok synth slice02: ok synth issue1258: ok synth issue1058: ok synth issue1164: ok synth issue973: ok synth issue1909: ok synth issue1004: ok synth issue1911: ok synth synth48: ok synth aggr01: ok synth issue1414: ok synth issue1912: ok synth synth50: ok synth issue1165: ok synth issue1062: ok synth synth52: ok synth issue1211: ok synth issue1645: ok synth issue1212: ok synth issue1920: ok synth issue1117: ok synth lib01: ok synth issue1650: ok synth issue1005: ok synth issue1006: ok synth issue1926: ok synth issue1007: ok synth issue1936: ok synth synth56: ok synth issue1264: ok synth issue1319: ok synth issue1938: ok synth issue1942: ok synth issue1417: ok synth issue1944: ok synth synth58: ok synth issue1265: ok synth issue1166: ok synth issue1122: ok synth lit01: ok synth issue1421: ok synth aggr02: ok synth issue1217: ok synth synth60: ok synth issue1167: ok synth issue1424: ok synth issue1654: ok synth issue1426: ok synth issue1218: ok synth issue1658: ok synth issue1321: ok synth issue1266: ok synth synth76: ok synth issue1267: ok synth issue1008: ok synth issue1064: ok synth issue1168: ok synth aggr03: ok synth issue1068: ok synth issue1271: ok synth issue1665: ok synth issue1009: ok synth issue1219: ok synth issue1272: ok synth issue1011: ok synth issue1169: ok synth issue1428: ok synth issue1322: ok synth issue1430: ok synth issue1170: ok synth issue1172: ok synth anon01: ok synth issue1324: ok synth issue1014: ok synth issue1945: ok synth match01: ok synth issue1018: ok synth issue1947: ok synth issue1220: ok synth issue1948: ok synth synth8: ok synth issue1442: ok synth issue1949: ok synth issue1221: ok synth issue1454: ok synth synth80: ok synth issue1175: ok synth issue1325: ok synth issue1176: ok synth issue1273: ok synth issue1177: ok synth issue1225: ok synth synth87: ok synth issue1277: ok synth synth93: ok synth issue1230: ok synth issue1178: ok synth issue1280: ok synth issue1021: ok synth issue1231: ok synth issue1234: ok synth issue1179: ok synth issue1023: ok synth issue1282: ok synth issue1236: ok synth issue1237: ok synth issue1180: ok synth issue1181: ok synth issue1283: ok synth issue1182: ok synth issue1025: ok synth issue1126: ok synth issue1032: ok synth issue1675: ok synth issue1186: ok synth issue1288: ok synth issue1033: ok synth issue1679: ok synth issue1127: ok synth issue1292: ok synth issue1680: ok synth issue1238: ok synth issue1190: ok synth uassoc01: ok synth issue1294: ok synth issue1681: ok synth issue662: ok synth issue1130: ok synth issue1685: ok synth issue1330: ok synth issue1698: ok synth issue1193: ok synth issue1239: ok synth issue1034: ok synth issue872: ok synth issue1703: ok synth issue1132: ok synth issue1295: ok synth issue1332: ok synth issue882: ok synth unary01: ok synth issue1069: ok synth issue936: ok synth issue1197: ok synth issue1035: ok synth issue1133: ok synth issue1333: ok synth issue1074: ok synth issue1036: ok synth issue1075: ok synth issue1198: ok synth issue1342: ok synth issue1039: ok synth issue1731: ok synth issue1298: ok synth issue1199: ok synth issue1041: ok synth issue1302: ok synth issue1734: ok synth dff01: ok synth issue1042: ok synth issue1240: ok synth issue1951: ok synth issue1345: ok synth issue1961: ok synth issue1307: ok synth issue1043: ok synth issue1780: ok synth issue1139: ok synth issue1044: ok synth issue1962: ok synth issue937: ok synth arr01: ok synth issue1310: ok synth issue1968: ok synth issue1241: ok synth issue1971: ok synth issue1046: ok synth issue938: ok synth issue1242: ok synth issue1972: ok synth issue1311: ok synth issue1047: ok synth issue940: ok synth issue1348: ok synth issue941: ok synth issue1977: ok synth issue1366: ok synth issue1243: ok synth issue944: ok synth issue1076: ok synth issue1978: ok synth issue945: ok synth issue946: ok synth issue1140: ok synth issue1367: ok synth issue1144: ok synth issue1077: ok synth issue947: ok synth issue1078: ok synth issue1146: ok synth issue948: ok synth arr02: ok synth issue1372: ok synth issue1148: ok synth issue1250: ok synth issue1781: ok synth issue1079: ok synth issue1153: ok synth issue1832: ok synth issue1387: ok synth arr03: ok synth issue951: ok synth issue1838: ok synth issue953: ok synth mem01: ok synth issue1859: ok synth issue954: ok synth issue1860: ok synth issue1155: ok synth issue1157: ok synth issue955: ok synth issue956: ok synth issue1080: ok synth issue958: ok synth issue1158: ok synth issue1081: ok synth issue1460: ok synth issue1390: ok synth issue960: ok synth issue962: ok synth sns01: ok synth issue1406: ok synth issue1475: ok synth issue1159: ok synth issue1160: ok synth issue1481: ok synth dff02: ok synth issue1503: ok synth issue1082: ok synth issue1509: ok synth issue1083: ok synth issue963: ok synth issue1407: ok synth issue1086: ok synth mem02: ok synth issue964: ok synth issue1408: ok synth issue1090: ok synth issue1520: ok synth issue1095: ok synth issue1161: ok synth issue1096: ok synth issue1886: ok synth issue1099: ok synth var01: ok synth asgn01: ok synth assertassumes0: ok synth issue1531: ok synth assumeasserts0: ok synth dff03: ok synth issue1534: ok synth issue1100: ok synth issue1536: ok synth issue1537: ok synth issue1101: ok synth issue1540: ok synth mem2d01: ok synth dff05: ok synth blackbox01: ok synth block01: ok synth issue1563: ok synth bug01: ok synth bug02: ok synth issue1572: ok synth bug04: ok synth issue1591: ok synth dispin01: ok synth case01: ok synth case02: ok synth memmux01: ok synth cnt01: ok synth null01: ok synth dispout01: ok synth comp01: ok synth comp02: ok synth dispvhdl01: ok synth comp03: ok synth concat01: ok synth enum01: ok synth const01: ok synth conv01: ok synth exit01: ok synth snsuns01: ok synth stmt01: ok synth forgen01: ok synth string01: ok synth subprg01: ok synth oper01: ok synth synth104: ok synth synth108: ok synth synth110: ok synth fsm01: ok synth fsm02: ok synth fsm03: ok synth synth111: ok synth synth115: ok synth oper02: ok synth synth12: ok synth synth128: ok synth func01: ok synth output01: ok synth physical01: ok synth synth129: ok synth func02: ok synth synth14: ok synth pkg01: ok synth pragma01: ok synth func03: ok synth synth154: ok synth gen01: ok synth synth26: ok synth synth27: ok synth synth33: ok synth psl01: ok synth iassoc01: ok synth synth34: ok synth if01: ok synth if02: ok synth synth36: ok synth psl02: ok synth if03: ok synth synth38: ok synth range01: ok synth rec01: ok synth insert01: ok synth synth39: ok synth int01: ok synth issue1002: ok synth ret01: ok synth synth40: ok synth simple01: ok synth slice01: ok synth tests are successful [GHDL - test] vpi ..Running with 16 test workers. vpi vpi003: ok vpi vpi004: ok vpi vpi001: ok vpi vpi002: ok vpi vpi005: ok vpi tests are successful [GHDL - test] vhpi ..Running with 16 test workers. vhpi 004trace_stdout: ok vhpi 002load_entrypoint: ok vhpi 001load_lib: ok vhpi 005trace_file: ok vhpi 003load_multi_lib: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/test-mcode dh_testdir ------------------------------------------------------------ Run testsuite for llvm backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "trans_be.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-types.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "default_paths.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "std_names.ali" being checked ... "version.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "vhdl-types.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "ghdlcomp.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-c.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "grt-stdio.ali" being checked ... "dyn_interning.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "interning.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "grt-table.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-main.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-stdio.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-c.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/libgrt.a ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst install -m 644 lib/ghdl/llvm/libgrt.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/grt.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/grt-exec.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/grt-shared.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d; \ install -m 644 -p \ lib/ghdl/llvm/$d/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d; \ done install: omitting directory 'lib/ghdl/llvm/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/src/std/v08' install: omitting directory 'lib/ghdl/llvm/src/std/v87' install: omitting directory 'lib/ghdl/llvm/src/std/v93' install: omitting directory 'lib/ghdl/llvm/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/; mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/ install -m 755 -p lib/libghdlvpi.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ install -m 755 -p libghdl.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ install -m 644 -p libghdl.link /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ install -m 644 -p ../../src/synth/include/synth.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ install -m 755 -p lib/libghw.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ install -m 644 -p ../../ghw/libghw.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=87 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=93 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm --disp-standard --std=08 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' # Temporarily make LLVM backend testsuite failure non-fatal to more # easily collect data for investigating the PSL testsuite failures on # some architectures debian/tests/ghdl-tests buildtest llvm > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm GHDL 2.0.0 (Debian 2.0.0+dfsg-6.2) [Dunoon edition] Compiled with GNAT Version: 12.2.0 llvm code generator Written by Tristan Gingold. Copyright (C) 2003 - 2022 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove copy Copy work library to current directory alias: --copy disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version opts-help Display help for analyzer options alias: --options-help To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 16 test workers. sanity 005examples: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 16 test workers. gna issue1500: ok gna issue381: ok gna issue1897: ok gna issue151: ok gna issue772: ok gna ticket52: ok gna bug034: ok gna issue1364: ok gna issue26: ok gna issue1128: ok gna issue1370: ok gna issue521: ok gna issue922: ok gna issue1371: ok gna bug01: ok gna issue1514: ok gna issue382: ok gna ticket53: ok gna bug079: ok gna issue261: ok gna issue384: ok gna issue387: ok gna issue1515: ok gna issue388: ok gna issue1898: ok gna ticket54: ok gna issue643: ok gna issue389: ok gna issue961: ok gna issue262: ok gna issue1708: ok gna ticket55: ok gna issue776: ok gna issue190: ok gna issue1129: ok gna ticket56: ok gna issue392: ok gna issue777: ok gna issue522: ok gna issue779: ok gna issue1517: ok gna issue263: ok gna issue645: ok gna issue1908: ok gna bug18810: ok gna bug010: ok gna issue191: ok gna issue524: ok gna issue264: ok gna issue1715: ok gna issue98: ok gna ticket57: ok gna issue152: ok gna issue1717: ok gna issue1376: ok gna issue394: ok gna ticket58: ok gna issue395: ok gna issue525: ok gna issue1913: ok gna issue1377: ok gna issue1718: ok gna bug19195: ok gna issue265: ok gna issue1523: ok gna issue983: ok gna ticket59: ok gna issue780: ok gna issue397: ok gna issue40: ok gna issue1131: ok gna issue268: ok gna issue1379: ok gna issue529: ok gna ticket61: ok gna issue786: ok gna issue400: ok gna issue984: ok gna bug20255: ok gna issue273: ok gna issue1721: ok gna issue278: ok gna issue283: ok gna issue787: ok gna issue1137: ok gna issue646: ok gna issue1528: ok gna issue1914: ok gna issue99: ok gna issue1381: ok gna issue530: ok gna ticket62: ok gna issue406: ok gna issue1919: ok gna issue1723: ok gna bug0100: ok gna issue1384: ok gna ticket63: ok gna issue1724: ok gna issue788: ok gna bug0101: ok gna issue290: ok gna issue1385: ok gna lsp27: ok gna bug20312: ok gna issue648: ok gna issue1138: ok gna issue1726: ok gna ticket64: ok gna bug0103: ok gna issue791: ok gna issue1727: ok gna issue652: ok gna issue1386: ok gna issue792: ok gna bug20549: ok gna bug0104: ok gna issue531: ok gna bug20597: ok gna issue535: ok gna issue794: ok gna issue654: ok gna issue1736: ok gna issue1751: ok gna issue407: ok gna issue413: ok gna issue1924: ok gna bug20703: ok gna issue293: ok gna issue1387: ok gna issue1145: ok gna issue660: ok gna issue1757: ok gna ticket65: ok gna issue542: ok gna issue1934: ok gna issue295: ok gna bug20767: ok gna issue1389: ok gna issue1152: ok gna issue1759: ok gna issue1935: ok gna issue795: ok gna bug08: ok gna bug20771: ok gna bug080: ok gna issue1392: ok gna bug0105: ok gna issue176: ok gna bug21052: ok gna issue1943: ok gna bug0106: ok gna ticket66: ok gna bug21078: ok gna issue1953: ok gna issue543: ok gna bug21274: ok gna bug0108: ok gna issue797: ok gna issue663: ok gna issue1979: ok gna issue803: ok gna bug081: ok gna bug082: ok gna issue1191: ok gna issue1764: ok gna issue666: ok gna issue1196: ok gna ticket67: ok gna issue544: ok gna issue1765: ok gna issue14: ok gna ticket68: ok gna issue140: ok gna bug21332: ok gna issue1403: ok gna issue546: ok gna issue1768: ok gna issue1201: ok gna ticket69: ok gna issue1549: ok gna bug083: ok gna bug21487: ok gna issue547: ok gna issue155: ok gna bug0109: ok gna issue1404: ok gna issue156: ok gna ticket70: ok gna issue807: ok gna bug035: ok gna bug036: ok gna issue552: ok gna issue553: ok gna bug21497: ok gna issue1206: ok gna issue1405: ok gna issue558: ok gna issue158: ok gna ticket71: ok gna issue141: ok gna issue810: ok gna issue1412: ok gna issue813: ok gna issue1771: ok gna issue418: ok gna issue1588: ok gna issue559: ok gna issue1224: ok gna issue816: ok gna ticket73: ok gna bug011: ok gna issue419: ok gna issue817: ok gna issue1415: ok gna issue42: ok gna bug21500: ok gna issue560: ok gna bug21513: ok gna issue1589: ok gna ticket74: ok gna issue668: ok gna bug0110: ok gna issue1416: ok gna bug0111: ok gna bug0112: ok gna bug0114: ok gna issue1772: ok gna bug22868: ok gna issue1226: ok gna ticket75: ok gna issue1593: ok gna bug23013: ok gna issue424: ok gna bug0115: ok gna issue1597: ok gna ticket76: ok gna issue561: ok gna issue1779: ok gna issue43: ok gna bug084: ok gna issue67: ok gna issue1228: ok gna issue563: ok gna issue439: ok gna bug085: ok gna issue1229: ok gna issue160: ok gna issue1980: ok gna issue18: ok gna issue1419: ok gna issue199: ok gna issue1612: ok gna issue1623: ok gna issue123: ok gna bug086: ok gna issue672: ok gna issue1810: ok gna bug087: ok gna issue578: ok gna bug088: ok gna bug23165: ok gna issue1624: ok gna issue44: ok gna ticket77: ok gna issue676: ok gna issue679: ok gna issue1420: ok gna issue1814: ok gna issue1233: ok gna issue818: ok gna issue584: ok gna ticket78: ok gna bug23482: ok gna ticket79: ok gna issue1818: ok gna bug09: ok gna issue586: ok gna issue821: ok gna issue1429: ok gna issue440: ok gna ticket81: ok gna issue683: ok gna issue441: ok gna ticket83: ok gna issue598: ok gna issue143: ok gna issue447: ok gna issue1625: ok gna issue1240: ok gna issue449: ok gna ticket84: ok gna issue606: ok gna issue685: ok gna issue609: ok gna issue163: ok gna bug0117: ok gna bug24064: ok gna issue828: ok gna bug0118: ok gna issue1431: ok gna issue830: ok gna issue1246: ok gna issue144: ok gna ticket86: ok gna issue1631: ok gna issue838: ok gna bug24065: ok gna bug24324: ok gna issue1820: ok gna bug012: ok gna issue1249: ok gna issue1823: ok gna bug0120: ok gna bug24326: ok gna bug090: ok gna issue1637: ok gna bug0122: ok gna issue1824: ok gna bug7186: ok gna bug092: ok gna issue2: ok gna issue1639: ok gna issue1640: ok gna issue125: ok gna issue1252: ok gna issue1646: ok gna ticket87: ok gna bug093: ok gna issue1831: ok gna issue20: ok gna ticket88: ok gna issue45: ok gna issue610: ok gna issue613: ok gna bug0123: ok gna bug0124: ok gna issue1654: ok gna issue201: ok gna issue1440: ok gna bug7751: ok gna issue1256: ok gna issue615: ok gna issue852: ok gna ticket9: ok gna deb573721: ok gna bug0125: ok gna issue450: ok gna bug0126: ok gna issue1832: ok gna issue687: ok gna issue857: ok gna issue1443: ok gna issue860: ok gna bug014: ok gna deb585748: ok gna issue202: ok gna issue864: ok gna ticket90: ok gna issue204: ok gna bug094: ok gna issue616: ok gna issue1833: ok gna issue869: ok gna issue873: ok gna issue689: ok gna issue451: ok gna issue205: ok gna issue456: ok gna bug096: ok gna issue1453: ok gna issue207: ok gna deb585750: ok gna gls7: ok gna issue874: ok gna bug015: ok gna issue209: ok gna issue1834: ok gna issue875: ok gna issue458: ok gna issue1257: ok gna issue212: ok gna issue69: ok gna issue690: ok gna issue618: ok gna ticket92: ok gna issue691: ok gna issue1655: ok gna bug097: ok gna bug016: ok gna issue126: ok gna bug098: ok gna issue877: ok gna bug099: ok gna issue1455: ok gna ticket93: ok gna issue1456: ok gna issue620: ok gna issue1461: ok gna issue216: ok gna issue1836: ok gna issue621: ok gna issue1657: ok gna issue10: ok gna issue223: ok gna issue1837: ok gna issue623: ok gna issue1015: ok gna issue1664: ok gna bug14953: ok gna issue1469: ok gna issue103: ok gna issue692: ok gna issue1262: ok gna issue1843: ok gna issue880: ok gna issue881: ok gna issue1667: ok gna issue147: ok gna bug15638: ok gna issue1473: ok gna bug15702: ok gna issue167: ok gna issue1268: ok gna bug15933: ok gna issue225: ok gna issue626: ok gna issue1844: ok gna issue1269: ok gna issue627: ok gna bug037: ok gna issue885: ok gna issue630: ok gna issue694: ok gna issue459: ok gna issue631: ok gna issue695: ok gna issue1274: ok gna issue632: ok gna bug15966: ok gna issue886: ok gna bug039: ok gna issue634: ok gna issue227: ok gna issue461: ok gna issue697: ok gna issue1672: ok gna issue1474: ok gna issue705: ok gna issue1857: ok gna issue1677: ok gna issue148: ok gna issue465: ok gna issue228: ok gna issue1480: ok gna issue1287: ok gna bug04: ok gna issue1485: ok gna issue707: ok gna issue1684: ok gna issue1288: ok gna issue1038: ok gna issue1490: ok gna issue887: ok gna bug15993: ok gna bug16095: ok gna issue233: ok gna issue708: ok gna bug16096: ok gna bug16144: ok gna issue467: ok gna issue1687: ok gna issue1862: ok gna issue71: ok gna issue470: ok gna issue1292: ok gna issue1493: ok gna ticket94: ok gna issue471: ok gna issue235: ok gna issue1864: ok gna issue1688: ok gna issue899: ok gna issue472: ok gna ticket95: ok gna bug16287: ok gna issue710: ok gna issue1295: ok gna issue9: ok gna issue1055: ok gna issue15: ok gna issue1689: ok gna issue473: ok gna issue474: ok gna issue1867: ok gna ticket96: ok gna issue1057: ok gna issue237: ok gna ticket97: ok gna bug16695: ok gna issue1690: ok gna ticket98: ok gna issue1300: ok gna issue1691: ok gna bug16782: ok gna issue1872: ok gna issue1875: ok gna issue132: ok gna issue150: ok gna issue1697: ok gna issue635: ok gna issue636: ok gna bug17127: ok gna issue476: ok gna issue713: ok gna issue912: ok gna issue478: ok gna issue637: ok gna bug17202: ok gna issue106: ok gna issue1876: ok gna issue1323: ok gna issue913: ok gna bug17203: ok gna issue17: ok gna issue1881: ok gna issue1700: ok gna issue1063: ok gna issue1066: ok gna issue916: ok gna issue1883: ok gna issue1326: ok gna issue715: ok gna issue1067: ok gna issue717: ok gna issue1894: ok gna issue917: ok gna issue107: ok gna issue1338: ok gna issue918: ok gna issue718: ok gna issue1704: ok gna issue719: ok gna issue238: ok gna issue1346: ok gna issue1120: ok gna issue72: ok gna issue1347: ok gna issue729: ok gna issue1123: ok gna issue73: ok gna issue1354: ok gna issue136: ok gna issue241: ok gna issue1125: ok gna issue1360: ok gna issue242: ok gna issue731: ok gna issue734: ok gna issue243: ok gna bug017: ok gna issue735: ok gna issue244: ok gna bug018: ok gna bug17309: ok gna issue25: ok gna bug17545: ok gna issue253: ok gna bug17759: ok gna issue736: ok gna issue1361: ok gna bug18280: ok gna issue1362: ok gna issue254: ok gna bug18351: ok gna bug18353: ok gna bug18359: ok gna bug18360: ok gna bug18361: ok gna issue256: ok gna issue737: ok gna bug18659: ok gna issue747: ok gna issue257: ok gna issue641: ok gna issue756: ok gna issue258: ok gna issue259: ok gna issue642: ok gna bug019: ok gna bug02: ok gna bug021: ok gna bug022: ok gna issue30: ok gna issue300: ok gna bug023: ok gna bug024: ok gna bug026: ok gna bug027: ok gna bug028: ok gna bug029: ok gna bug03: ok gna bug030: ok gna bug031: ok gna bug032: ok gna bug033: ok gna perf02-long: ok gna sr2553: ok gna issue50: ok gna issue516: ok gna issue520: ok gna sr2655: ok gna sr2676: ok gna issue301: ok gna issue309: ok gna issue310: ok gna issue312: ok gna issue314: ok gna sr2737: ok gna issue316: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna ticket104: ok gna ticket109: ok gna ticket11: ok gna ticket14: ok gna ticket15: ok gna ticket18: ok gna ticket19: ok gna ticket20: ok gna ticket24: ok gna ticket26: ok gna ticket29: ok gna ticket30: ok gna ticket31: ok gna ticket32: ok gna ticket35: ok gna ticket37: ok gna ticket38: ok gna ticket39: ok gna ticket40: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna bug040: ok gna bug041: ok gna bug042: ok gna bug043: ok gna bug044: ok gna bug045: ok gna issue317: ok gna bug046: ok gna bug047: ok gna issue320: ok gna issue321: ok gna issue326: ok gna bug048: ok gna bug049: ok gna bug05: ok gna issue328: ok gna bug050: ok gna bug051: ok gna issue332: ok gna issue333: ok gna bug052: ok gna issue339: ok gna issue349: ok gna bug053: ok gna issue351: ok gna issue356: ok gna bug054: ok gna issue361: ok gna bug055: ok gna issue367: ok gna issue368: ok gna bug056: ok gna issue369: ok gna issue370: ok gna bug057: ok gna issue371: ok gna issue372: ok gna bug058: ok gna issue373: ok gna issue374: ok gna bug059: ok gna bug06: ok gna issue375: ok gna bug060: ok gna bug061: ok gna bug062: ok gna bug063: ok gna issue376: ok gna issue379: ok gna bug064: ok gna issue38: ok gna bug065: ok gna bug066: ok gna bug067: ok gna bug069: ok gna bug07: ok gna bug071: ok gna bug072: ok gna bug073: ok gna bug074: ok gna bug075: ok gna bug077: ok gna bug078: ok gna tests are successful [GHDL - test] vests Vests is OK 29241 vests.log [GHDL - test] synth ..Running with 16 test workers. synth issue1251: ok synth issue1313: ok synth issue1207: ok synth issue1889: ok synth issue1596: ok synth issue1107: ok synth synth44: ok synth issue1208: ok synth issue1162: ok synth issue1253: ok synth issue1050: ok synth issue965: ok synth issue1314: ok synth issue1609: ok synth issue1316: ok synth issue1317: ok synth issue1254: ok synth issue1209: ok synth issue1210: ok synth issue1628: ok synth issue972: ok synth issue1318: ok synth issue1413: ok synth issue1414: ok synth synth45: ok synth issue1899: ok synth issue1903: ok synth issue1417: ok synth issue1054: ok synth synth47: ok synth issue1421: ok synth issue412: ok synth issue1424: ok synth issue1109: ok synth issue1426: ok synth issue1645: ok synth issue1113: ok synth issue1650: ok synth issue1004: ok synth issue973: ok synth synth48: ok synth synth50: ok synth issue1163: ok synth synth52: ok synth issue1114: ok synth issue1428: ok synth issue1258: ok synth issue1430: ok synth issue1005: ok synth issue1164: ok synth issue1319: ok synth issue1909: ok synth issue1006: ok synth issue1116: ok synth issue1165: ok synth issue1911: ok synth issue1912: ok synth issue1058: ok synth issue1920: ok synth issue1926: ok synth issue1936: ok synth issue1007: ok synth issue1938: ok synth issue1942: ok synth issue1944: ok synth issue1442: ok synth issue1321: ok synth issue1062: ok synth slice02: ok synth issue1454: ok synth issue1211: ok synth issue1212: ok synth lib01: ok synth issue1008: ok synth issue1654: ok synth aggr01: ok synth issue1658: ok synth synth56: ok synth issue1322: ok synth issue1665: ok synth issue1264: ok synth issue1117: ok synth issue1166: ok synth issue1009: ok synth issue1265: ok synth synth58: ok synth issue1011: ok synth issue1167: ok synth synth60: ok synth issue1324: ok synth issue1217: ok synth issue1168: ok synth lit01: ok synth issue1169: ok synth issue1218: ok synth issue1170: ok synth issue1172: ok synth issue1014: ok synth synth76: ok synth issue1945: ok synth issue1947: ok synth issue1948: ok synth issue1266: ok synth issue1949: ok synth issue1018: ok synth aggr02: ok synth issue1325: ok synth issue1267: ok synth issue1271: ok synth issue1064: ok synth issue1068: ok synth issue1272: ok synth issue1175: ok synth issue1176: ok synth issue1177: ok synth issue1219: ok synth issue1178: ok synth issue1179: ok synth aggr03: ok synth issue1180: ok synth match01: ok synth issue1181: ok synth issue1182: ok synth issue1186: ok synth synth8: ok synth issue1220: ok synth synth80: ok synth issue1190: ok synth issue1021: ok synth issue1221: ok synth issue1193: ok synth issue1023: ok synth anon01: ok synth issue1197: ok synth synth87: ok synth issue1198: ok synth synth93: ok synth issue1199: ok synth issue1225: ok synth issue1230: ok synth issue1231: ok synth issue1234: ok synth issue1330: ok synth issue1236: ok synth issue1237: ok synth issue1273: ok synth issue1332: ok synth issue1025: ok synth issue1032: ok synth issue1033: ok synth issue1277: ok synth issue1333: ok synth issue1280: ok synth issue1122: ok synth issue1342: ok synth issue1282: ok synth issue662: ok synth issue1951: ok synth issue1961: ok synth issue1962: ok synth issue1283: ok synth issue1968: ok synth issue1971: ok synth issue1972: ok synth issue1977: ok synth issue1978: ok synth issue1034: ok synth issue1035: ok synth issue1345: ok synth issue1036: ok synth issue872: ok synth issue1039: ok synth issue882: ok synth issue1675: ok synth issue936: ok synth issue1679: ok synth issue1680: ok synth issue1681: ok synth issue1041: ok synth issue1685: ok synth issue1698: ok synth issue1042: ok synth issue1288: ok synth issue1703: ok synth issue1043: ok synth issue1044: ok synth issue1238: ok synth issue1239: ok synth issue1292: ok synth uassoc01: ok synth issue1294: ok synth issue1046: ok synth issue937: ok synth issue1348: ok synth issue1047: ok synth issue1366: ok synth unary01: ok synth issue1240: ok synth issue1731: ok synth issue1734: ok synth issue1780: ok synth issue1295: ok synth issue938: ok synth issue940: ok synth issue1298: ok synth issue1302: ok synth issue1307: ok synth issue941: ok synth issue944: ok synth issue945: ok synth issue1241: ok synth issue1310: ok synth issue946: ok synth issue1242: ok synth issue947: ok synth issue948: ok synth issue1243: ok synth issue1367: ok synth issue1372: ok synth issue1069: ok synth issue1074: ok synth issue1075: ok synth issue1387: ok synth issue1311: ok synth issue1781: ok synth issue951: ok synth issue1832: ok synth issue1250: ok synth issue953: ok synth issue954: ok synth issue1390: ok synth issue1838: ok synth issue1859: ok synth issue1860: ok synth issue1406: ok synth issue1407: ok synth issue1408: ok synth issue955: ok synth arr01: ok synth issue956: ok synth issue958: ok synth issue1076: ok synth dff01: ok synth issue1077: ok synth issue1078: ok synth issue1079: ok synth mem01: ok synth issue960: ok synth issue962: ok synth issue1460: ok synth issue1475: ok synth issue1481: ok synth issue1503: ok synth issue1886: ok synth issue1080: ok synth issue1081: ok synth issue963: ok synth arr02: ok synth issue1509: ok synth sns01: ok synth issue964: ok synth issue1082: ok synth issue1083: ok synth issue1086: ok synth arr03: ok synth issue1520: ok synth mem02: ok synth var01: ok synth issue1090: ok synth issue1095: ok synth issue1096: ok synth issue1099: ok synth issue1531: ok synth issue1534: ok synth issue1536: ok synth issue1537: ok synth issue1540: ok synth dff02: ok synth issue1100: ok synth issue1101: ok synth issue1563: ok synth issue1572: ok synth mem2d01: ok synth issue1591: ok synth dff03: ok synth asgn01: ok synth assertassumes0: ok synth assumeasserts0: ok synth dff05: ok synth blackbox01: ok synth block01: ok synth bug01: ok synth bug02: ok synth bug04: ok synth memmux01: ok synth null01: ok synth dispin01: ok synth case01: ok synth snsuns01: ok synth stmt01: ok synth case02: ok synth string01: ok synth subprg01: ok synth oper01: ok synth cnt01: ok synth synth104: ok synth synth108: ok synth synth110: ok synth comp01: ok synth synth111: ok synth dispout01: ok synth comp02: ok synth comp03: ok synth synth115: ok synth synth12: ok synth concat01: ok synth oper02: ok synth synth128: ok synth dispvhdl01: ok synth const01: ok synth synth129: ok synth conv01: ok synth enum01: ok synth synth14: ok synth synth154: ok synth synth26: ok synth synth27: ok synth output01: ok synth physical01: ok synth synth33: ok synth exit01: ok synth pkg01: ok synth pragma01: ok synth forgen01: ok synth psl01: ok synth synth34: ok synth synth36: ok synth psl02: ok synth synth38: ok synth range01: ok synth rec01: ok synth synth39: ok synth synth40: ok synth fsm01: ok synth ret01: ok synth fsm02: ok synth fsm03: ok synth simple01: ok synth slice01: ok synth func01: ok synth func02: ok synth func03: ok synth gen01: ok synth iassoc01: ok synth if01: ok synth if02: ok synth if03: ok synth insert01: ok synth int01: ok synth issue1002: ok synth issue1126: ok synth issue1127: ok synth issue1130: ok synth issue1132: ok synth issue1133: ok synth issue1139: ok synth issue1140: ok synth issue1144: ok synth issue1146: ok synth issue1148: ok synth issue1153: ok synth issue1155: ok synth issue1157: ok synth issue1158: ok synth issue1159: ok synth issue1160: ok synth issue1161: ok synth tests are successful [GHDL - test] vpi ..Running with 16 test workers. vpi vpi004: ok vpi vpi001: ok vpi vpi003: ok vpi vpi005: ok vpi vpi002: ok vpi tests are successful [GHDL - test] vhpi ..Running with 16 test workers. vhpi 002load_entrypoint: ok vhpi 005trace_file: ok vhpi 001load_lib: ok vhpi 004trace_stdout: ok vhpi 003load_multi_lib: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/test-llvm dh_testdir ------------------------------------------------------------ Run testsuite for gcc backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards (so that it can find its # libraries as relative paths from the executable's location). ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /bin/sh ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include/README /usr/bin/install -c fixinc.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc.sh /usr/bin/install -c fixincl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixincl /usr/bin/install -c mkheaders /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' /bin/sh ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "default_paths.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "ghdlcomp.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "grt-c.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "grt-table.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-lto-dump-12 mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir: cannot create directory '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin': File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:163: vhdl.install-common] Error 1 (ignored) rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib mkdir: cannot create directory '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib': File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:166: vhdl.install-common] Error 1 (ignored) mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 gm2l gm2lcc gm2lgen gm2lsub gm2cc gm2lorder cc1obj cc1objplus ghdl1; do \ if [ -f $file ] ; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ else true; fi; \ done rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-12; \ /usr/bin/install -c gcov /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-12; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-tool-12; \ /usr/bin/install -c \ gcov-tool /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-tool-12; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ /usr/bin/install -c \ gcov-dump /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ fi; \ fi /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed chmod a+rx /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed; tar xpf - ) files=`cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i; \ fi; \ done; \ fi /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-cpp-12; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-cpp-12; \ if [ x != x ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//x86_64-linux-gnu-cpp-12; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//x86_64-linux-gnu-cpp-12; \ else true; fi; \ fi rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 /usr/bin/install -c -m 644 doc/gcc.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 /usr/bin/install -c -m 644 doc/lto-dump.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info if [ -f doc/cpp.info ]; then \ for f in doc/cpp.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info if [ -f doc/gcc.info ]; then \ for f in doc/gcc.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info if [ -f doc/cppinternals.info ]; then \ for f in doc/cppinternals.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info if [ -f doc/gccinstall.info ]; then \ for f in doc/gccinstall.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info if [ -f doc/gccint.info ]; then \ for f in doc/gccint.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \ done /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 if test "" != "yes" ; then \ if [ "x86_64-linux-gnu-gcc-12" != "x86_64-linux-gnu-gcc-12" ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln x86_64-linux-gnu-gcc-12 x86_64-linux-gnu-gcc-12 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "x86_64-linux-gnu-gcc-12" != "x86_64-linux-gnu-x86_64-linux-gnu-gcc-12" ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-tmp; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln x86_64-linux-gnu-gcc-12 x86_64-linux-gnu-gcc-tmp && \ mv -f x86_64-linux-gnu-gcc-tmp x86_64-linux-gnu-x86_64-linux-gnu-gcc-12 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-12&;s&^&x86_64-linux-gnu-&'` ;\ target_install_name=x86_64-linux-gnu-`echo $i|sed 's&$&-12&;s&^&x86_64-linux-gnu-&'` ; \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi rm -f tmp-header-vars echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h config/i386/cpuid.h mmintrin.h mm3dnow.h xmmintrin.h emmintrin.h pmmintrin.h tmmintrin.h ammintrin.h smmintrin.h nmmintrin.h bmmintrin.h fma4intrin.h wmmintrin.h immintrin.h x86intrin.h avxintrin.h xopintrin.h ia32intrin.h cross-stdarg.h lwpintrin.h popcntintrin.h lzcntintrin.h bmiintrin.h bmi2intrin.h tbmintrin.h avx2intrin.h avx512fintrin.h fmaintrin.h f16cintrin.h rtmintrin.h xtestintrin.h rdseedintrin.h prfchwintrin.h adxintrin.h fxsrintrin.h xsaveintrin.h xsaveoptintrin.h avx512cdintrin.h avx512erintrin.h avx512pfintrin.h shaintrin.h clflushoptintrin.h xsavecintrin.h xsavesintrin.h avx512dqintrin.h avx512bwintrin.h avx512vlintrin.h avx512vlbwintrin.h avx512vldqintrin.h avx512ifmaintrin.h avx512ifmavlintrin.h avx512vbmiintrin.h avx512vbmivlintrin.h avx5124fmapsintrin.h avx5124vnniwintrin.h avx512vpopcntdqintrin.h clwbintrin.h mwaitxintrin.h clzerointrin.h pkuintrin.h sgxintrin.h cetintrin.h gfniintrin.h cet.h avx512vbmi2intrin.h avx512vbmi2vlintrin.h avx512vnniintrin.h avx512vnnivlintrin.h vaesintrin.h vpclmulqdqintrin.h avx512vpopcntdqvlintrin.h avx512bitalgintrin.h pconfigintrin.h wbnoinvdintrin.h movdirintrin.h waitpkgintrin.h cldemoteintrin.h avx512bf16vlintrin.h avx512bf16intrin.h enqcmdintrin.h serializeintrin.h avx512vp2intersectintrin.h avx512vp2intersectvlintrin.h tsxldtrkintrin.h amxtileintrin.h amxint8intrin.h amxbf16intrin.h x86gprintrin.h uintrintrin.h hresetintrin.h keylockerintrin.h avxvnniintrin.h mwaitintrin.h avx512fp16intrin.h avx512fp16vlintrin.h mm_malloc.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/i386/i386-protos.h linux-protos.h tm-preds.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/i386/i386.h i386-protos.h defaults.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h >> tmp-header-vars; echo TM_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/i386/i386-opts.h stringop.def >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def genrtl.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-dbxout.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-i386.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-calls.h gt-i386-builtins.h gt-i386-expand.h gt-i386-options.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-vhdl.h >> tmp-header-vars; /bin/sh ../../src/gcc/../move-if-change tmp-header-vars b-header-vars echo timestamp > s-header-vars /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gtype.state /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gengtype /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/common/config/i386/i386-cpuinfo.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/i386/i386-isa.def ../../src/gcc/config/i386/i386-opts.h ../../src/gcc/config/i386/i386-protos.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/i386/stringop.def ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/x86-tune.def ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/i386/att.h config/i386/biarch64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux-common.h config/i386/linux64.h config/i386/unix.h config/i386/x86-64.h config/initfini-array.h config/linux-android.h config/linux-protos.h config/linux.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \ dir=`dirname $dest`; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/common/config/i386/i386-cpuinfo.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/common/config/i386/i386-cpuinfo.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/common/config/i386 /usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/dbxelf.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/att.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/att.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386 /usr/bin/install -c -m 644 ../../src/gcc/config/i386/biarch64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-isa.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-isa.def /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/stringop.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/stringop.def /usr/bin/install -c -m 644 ../../src/gcc/config/i386/unix.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/unix.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-tune.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-tune.def /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-android.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cp/cp-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/d/d-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/m2/m2-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/objc/objc-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-common.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/dbxelf.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/att.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/att.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/biarch64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/unix.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/unix.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-64.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-64.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-android.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 configargs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dbxout.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbxout.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-evrp-analyze.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-evrp-analyze.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gstab.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gstab.h /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/stab.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stab.def /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-equiv.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-range-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/xcoff.h /usr/bin/install -c -m 644 ../../src/gcc/xcoffout.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/xcoffout.h /usr/bin/install -c -m 644 b-header-vars /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/b-header-vars make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/cpplib-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/cpplib-12.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;x86_64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \ done; \ fi make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' /bin/sh ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a /usr/bin/install -c -m 644 libgcov.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcov.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c -m 644 $file /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-main.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-stdio.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-c.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/libgrt.a ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/grt.lst echo "# link options for executables" > lib/ghdl/gcc/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/gcc/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/grt-shared.lst; done mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl install -m 644 lib/ghdl/gcc/libgrt.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/grt.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/grt-exec.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/grt-shared.lst /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.ver /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/libbacktrace.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d; \ install -m 644 -p \ lib/ghdl/gcc/$d/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d; \ done install: omitting directory 'lib/ghdl/gcc/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/src/std/v08' install: omitting directory 'lib/ghdl/gcc/src/std/v87' install: omitting directory 'lib/ghdl/gcc/src/std/v93' install: omitting directory 'lib/ghdl/gcc/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/; mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/ gcc-12 -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong gcc-12 -c -g -o vhpi_thunk.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong In file included from /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_thunk.c:30: /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:2:1: warning: "/*" within comment [-Wcomment] 2 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:3:1: warning: "/*" within comment [-Wcomment] 3 | /* Copyright 2019 IEEE P1076 WG Authors | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:4:1: warning: "/*" within comment [-Wcomment] 4 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:5:1: warning: "/*" within comment [-Wcomment] 5 | /* See the LICENSE file distributed with this work for copyright and | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:6:1: warning: "/*" within comment [-Wcomment] 6 | /* licensing information and the AUTHORS file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:7:1: warning: "/*" within comment [-Wcomment] 7 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:8:1: warning: "/*" within comment [-Wcomment] 8 | /* This file to you under the Apache License, Version 2.0 (the "License"). | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:9:1: warning: "/*" within comment [-Wcomment] 9 | /* You may obtain a copy of the License at | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:10:1: warning: "/*" within comment [-Wcomment] 10 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:11:1: warning: "/*" within comment [-Wcomment] 11 | /* http://www.apache.org/licenses/LICENSE-2.0 | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:12:1: warning: "/*" within comment [-Wcomment] 12 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:13:1: warning: "/*" within comment [-Wcomment] 13 | /* Unless required by applicable law or agreed to in writing, software | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:14:1: warning: "/*" within comment [-Wcomment] 14 | /* distributed under the License is distributed on an "AS IS" BASIS, | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:15:1: warning: "/*" within comment [-Wcomment] 15 | /* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:16:1: warning: "/*" within comment [-Wcomment] 16 | /* implied. See the License for the specific language governing | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:17:1: warning: "/*" within comment [-Wcomment] 17 | /* permissions and limitations under the License. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:18:1: warning: "/*" within comment [-Wcomment] 18 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:19:1: warning: "/*" within comment [-Wcomment] 19 | /* | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:20:1: warning: "/*" within comment [-Wcomment] 20 | /* Title : vhpi_user.h | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:21:1: warning: "/*" within comment [-Wcomment] 21 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:22:1: warning: "/*" within comment [-Wcomment] 22 | /* Developers: IEEE P1076 Working Group, VHPI Task Force | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:23:1: warning: "/*" within comment [-Wcomment] 23 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:24:1: warning: "/*" within comment [-Wcomment] 24 | /* Purpose : This header file describes the procedural interface | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:25:1: warning: "/*" within comment [-Wcomment] 25 | /* : to access VHDL compiled, instantiated and run-time | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:26:1: warning: "/*" within comment [-Wcomment] 26 | /* : data.It is derived from the UML model. For conformance | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:27:1: warning: "/*" within comment [-Wcomment] 27 | /* : with the VHPI standard, a VHPI application or program | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:28:1: warning: "/*" within comment [-Wcomment] 28 | /* : shall reference this header file. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:29:1: warning: "/*" within comment [-Wcomment] 29 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:30:1: warning: "/*" within comment [-Wcomment] 30 | /* Note : The contents of this file may be modified in an | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:31:1: warning: "/*" within comment [-Wcomment] 31 | /* : implementation to provide implementation-defined | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:32:1: warning: "/*" within comment [-Wcomment] 32 | /* : functionality, as described in B.3. | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:33:1: warning: "/*" within comment [-Wcomment] 33 | /* : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:34:1: warning: "/*" within comment [-Wcomment] 34 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:35:1: warning: "/*" within comment [-Wcomment] 35 | /* modification history : | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:36:1: warning: "/*" within comment [-Wcomment] 36 | /* -------------------------------------------------------------------- | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:37:1: warning: "/*" within comment [-Wcomment] 37 | /* $Revision: 1315 $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:38:1: warning: "/*" within comment [-Wcomment] 38 | /* $Date: 2008-07-13 10:11:53 +0930 (Sun, 13 Jul 2008) $ | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h:39:1: warning: "/*" within comment [-Wcomment] 39 | /* -------------------------------------------------------------------- | gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o install -m 755 -p lib/libghdlvpi.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/ install -m 755 -p libghdl.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/ install -m 644 -p libghdl.link /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/ install -m 644 -p ../../src/synth/include/synth.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ install -m 755 -p lib/libghw.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/ install -m 644 -p ../../ghw/libghw.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=87 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=93 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc --disp-standard --std=08 > /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' mv /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc mv /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a ln -sf ghdl/gcc/lib/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/gcc debian/tests/ghdl-tests buildtest gcc > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc GHDL 2.0.0 (Debian 2.0.0+dfsg-6.2) [Dunoon edition] Compiled with GNAT Version: 12.2.0 GCC back-end code generator Written by Tristan Gingold. Copyright (C) 2003 - 2022 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/bin/ghdl-gcc COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove copy Copy work library to current directory alias: --copy disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version opts-help Display help for analyzer options alias: --options-help To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 16 test workers. sanity 005examples: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 16 test workers. gna issue1500: ok gna issue381: ok gna issue1897: ok gna ticket52: ok gna issue151: ok gna issue772: ok gna bug034: ok gna issue26: ok gna issue1128: ok gna issue1364: ok gna issue1370: ok gna issue521: ok gna issue922: ok gna bug01: ok gna issue1514: ok gna issue1371: ok gna bug079: ok gna ticket53: ok gna issue643: ok gna issue261: ok gna issue382: ok gna issue1515: ok gna issue384: ok gna issue387: ok gna issue961: ok gna issue1129: ok gna issue388: ok gna ticket54: ok gna issue1898: ok gna issue389: ok gna issue262: ok gna issue1708: ok gna issue645: ok gna issue776: ok gna ticket55: ok gna bug010: ok gna issue777: ok gna issue263: ok gna issue264: ok gna issue779: ok gna ticket56: ok gna issue522: ok gna issue1517: ok gna bug18810: ok gna issue524: ok gna issue98: ok gna ticket57: ok gna issue392: ok gna issue1715: ok gna ticket58: ok gna issue152: ok gna issue190: ok gna issue1717: ok gna issue525: ok gna issue265: ok gna issue1908: ok gna issue191: ok gna bug19195: ok gna issue780: ok gna issue394: ok gna issue1376: ok gna ticket59: ok gna issue1523: ok gna issue395: ok gna issue1718: ok gna issue983: ok gna issue1131: ok gna issue1377: ok gna issue268: ok gna issue786: ok gna bug0100: ok gna issue397: ok gna issue1913: ok gna bug0101: ok gna issue40: ok gna ticket61: ok gna bug20255: ok gna issue1379: ok gna issue273: ok gna issue529: ok gna issue1137: ok gna issue278: ok gna issue283: ok gna issue787: ok gna issue646: ok gna issue984: ok gna bug0103: ok gna issue400: ok gna issue1721: ok gna issue1528: ok gna issue1381: ok gna bug0104: ok gna issue1384: ok gna issue788: ok gna issue99: ok gna issue290: ok gna issue530: ok gna issue1385: ok gna ticket62: ok gna issue1723: ok gna ticket63: ok gna issue1724: ok gna issue648: ok gna issue406: ok gna issue1138: ok gna issue1914: ok gna issue652: ok gna bug20312: ok gna issue791: ok gna issue1919: ok gna issue792: ok gna issue1386: ok gna lsp27: ok gna issue794: ok gna ticket64: ok gna issue654: ok gna issue531: ok gna issue1726: ok gna issue293: ok gna issue1727: ok gna issue535: ok gna bug20549: ok gna bug20597: ok gna issue295: ok gna issue1145: ok gna issue1387: ok gna issue1736: ok gna issue1751: ok gna bug0105: ok gna issue542: ok gna bug20703: ok gna bug0106: ok gna issue1152: ok gna issue660: ok gna issue407: ok gna bug0108: ok gna issue413: ok gna issue1389: ok gna issue1757: ok gna ticket65: ok gna issue1924: ok gna issue795: ok gna bug20767: ok gna issue1392: ok gna issue543: ok gna issue1191: ok gna issue1759: ok gna issue797: ok gna issue1196: ok gna bug20771: ok gna issue803: ok gna issue1934: ok gna bug21052: ok gna issue663: ok gna bug21078: ok gna bug035: ok gna issue1935: ok gna issue544: ok gna issue666: ok gna bug08: ok gna issue176: ok gna bug21274: ok gna bug036: ok gna bug080: ok gna ticket66: ok gna issue1201: ok gna bug0109: ok gna issue14: ok gna issue140: ok gna issue546: ok gna issue1943: ok gna issue1403: ok gna issue1953: ok gna issue1764: ok gna bug21332: ok gna bug081: ok gna ticket67: ok gna issue1549: ok gna issue1979: ok gna bug082: ok gna issue155: ok gna issue1765: ok gna issue547: ok gna bug21487: ok gna ticket68: ok gna issue156: ok gna issue1768: ok gna issue1404: ok gna bug21497: ok gna issue807: ok gna issue1206: ok gna issue158: ok gna issue552: ok gna bug011: ok gna issue553: ok gna ticket69: ok gna issue558: ok gna bug083: ok gna issue1588: ok gna issue1405: ok gna bug0110: ok gna issue810: ok gna issue1224: ok gna issue141: ok gna bug0111: ok gna issue1412: ok gna bug0112: ok gna issue813: ok gna bug0114: ok gna ticket70: ok gna issue816: ok gna issue559: ok gna bug21500: ok gna issue817: ok gna issue1589: ok gna bug21513: ok gna issue668: ok gna issue1415: ok gna issue560: ok gna bug22868: ok gna issue418: ok gna ticket71: ok gna bug23013: ok gna bug0115: ok gna issue1771: ok gna issue419: ok gna issue1226: ok gna issue1416: ok gna issue1593: ok gna issue42: ok gna ticket73: ok gna issue67: ok gna issue1597: ok gna issue561: ok gna issue424: ok gna ticket74: ok gna issue1228: ok gna issue563: ok gna issue160: ok gna issue672: ok gna issue1229: ok gna issue1772: ok gna issue43: ok gna ticket75: ok gna issue1980: ok gna issue1612: ok gna issue1623: ok gna issue578: ok gna issue1419: ok gna ticket76: ok gna bug084: ok gna issue123: ok gna issue1624: ok gna issue199: ok gna issue439: ok gna issue676: ok gna issue679: ok gna issue1779: ok gna bug23165: ok gna bug085: ok gna issue584: ok gna issue1420: ok gna issue1233: ok gna issue18: ok gna issue586: ok gna bug23482: ok gna issue818: ok gna bug086: ok gna bug087: ok gna issue683: ok gna issue44: ok gna issue1810: ok gna bug088: ok gna issue821: ok gna issue1429: ok gna issue598: ok gna issue143: ok gna issue1625: ok gna issue685: ok gna issue1240: ok gna bug0117: ok gna issue1814: ok gna bug0118: ok gna issue163: ok gna ticket77: ok gna issue606: ok gna bug09: ok gna issue1431: ok gna issue609: ok gna issue1631: ok gna issue144: ok gna issue440: ok gna issue441: ok gna bug24064: ok gna bug012: ok gna issue1818: ok gna issue447: ok gna bug0120: ok gna bug0122: ok gna issue1246: ok gna issue828: ok gna issue449: ok gna ticket78: ok gna issue830: ok gna bug24065: ok gna issue1637: ok gna ticket79: ok gna bug24324: ok gna issue1639: ok gna bug24326: ok gna issue838: ok gna issue1640: ok gna bug090: ok gna bug7186: ok gna bug092: ok gna issue1646: ok gna issue1249: ok gna issue610: ok gna issue613: ok gna bug0123: ok gna bug0124: ok gna ticket81: ok gna bug093: ok gna ticket83: ok gna issue2: ok gna issue125: ok gna issue1654: ok gna issue1252: ok gna bug037: ok gna issue615: ok gna bug0125: ok gna issue20: ok gna bug0126: ok gna issue1820: ok gna issue1823: ok gna ticket84: ok gna bug014: ok gna issue1440: ok gna bug7751: ok gna issue1824: ok gna deb573721: ok gna bug039: ok gna issue201: ok gna issue852: ok gna issue1256: ok gna issue1443: ok gna issue616: ok gna issue45: ok gna issue687: ok gna ticket86: ok gna deb585748: ok gna issue1831: ok gna bug094: ok gna issue857: ok gna bug04: ok gna bug015: ok gna issue860: ok gna issue864: ok gna issue869: ok gna deb585750: ok gna issue1453: ok gna issue873: ok gna issue202: ok gna gls7: ok gna issue689: ok gna bug096: ok gna issue450: ok gna issue204: ok gna bug016: ok gna issue618: ok gna issue1655: ok gna issue1832: ok gna ticket87: ok gna issue874: ok gna ticket88: ok gna issue875: ok gna issue205: ok gna issue69: ok gna issue451: ok gna issue690: ok gna issue456: ok gna issue691: ok gna issue207: ok gna issue1833: ok gna issue620: ok gna bug097: ok gna issue1257: ok gna bug098: ok gna issue1455: ok gna issue621: ok gna issue1456: ok gna bug099: ok gna issue209: ok gna issue623: ok gna issue877: ok gna issue212: ok gna issue1461: ok gna issue458: ok gna issue1657: ok gna issue1834: ok gna issue1664: ok gna issue126: ok gna issue10: ok gna ticket9: ok gna issue1015: ok gna issue1469: ok gna issue103: ok gna issue1667: ok gna bug14953: ok gna issue167: ok gna issue626: ok gna ticket90: ok gna issue627: ok gna issue216: ok gna issue630: ok gna issue692: ok gna issue147: ok gna issue631: ok gna issue1473: ok gna issue880: ok gna issue881: ok gna issue223: ok gna issue632: ok gna bug15638: ok gna issue1836: ok gna bug15702: ok gna issue1837: ok gna bug15933: ok gna issue1262: ok gna issue634: ok gna issue885: ok gna issue886: ok gna bug15966: ok gna issue694: ok gna issue1843: ok gna issue1672: ok gna issue1268: ok gna issue695: ok gna issue225: ok gna ticket92: ok gna issue1269: ok gna issue1677: ok gna issue1474: ok gna issue1844: ok gna issue1684: ok gna issue148: ok gna issue1274: ok gna issue697: ok gna issue1480: ok gna ticket93: ok gna issue705: ok gna issue1485: ok gna issue1490: ok gna issue459: ok gna issue1687: ok gna issue1038: ok gna issue227: ok gna issue707: ok gna issue1857: ok gna bug15993: ok gna issue1493: ok gna issue461: ok gna bug16095: ok gna issue887: ok gna issue1287: ok gna bug16096: ok gna bug16144: ok gna issue228: ok gna issue1688: ok gna issue708: ok gna issue1288: ok gna issue465: ok gna issue71: ok gna issue15: ok gna issue899: ok gna bug16287: ok gna issue233: ok gna issue635: ok gna issue9: ok gna issue636: ok gna issue1689: ok gna issue467: ok gna issue1292: ok gna issue470: ok gna issue1055: ok gna issue1862: ok gna issue710: ok gna issue235: ok gna issue1057: ok gna issue471: ok gna issue472: ok gna issue637: ok gna issue1690: ok gna issue1864: ok gna bug16695: ok gna issue150: ok gna issue1295: ok gna issue1691: ok gna issue473: ok gna issue474: ok gna issue1867: ok gna issue1697: ok gna issue237: ok gna bug16782: ok gna issue912: ok gna bug17127: ok gna issue713: ok gna issue1300: ok gna issue1872: ok gna issue1875: ok gna issue106: ok gna issue132: ok gna bug17202: ok gna issue913: ok gna issue476: ok gna ticket94: ok gna issue478: ok gna ticket95: ok gna issue1063: ok gna issue17: ok gna issue1066: ok gna bug17203: ok gna issue1700: ok gna issue1876: ok gna issue916: ok gna ticket96: ok gna ticket97: ok gna issue1067: ok gna issue1323: ok gna issue715: ok gna issue107: ok gna ticket98: ok gna issue717: ok gna issue917: ok gna issue1881: ok gna issue1326: ok gna issue918: ok gna issue1883: ok gna issue1704: ok gna issue718: ok gna issue719: ok gna issue1120: ok gna issue1894: ok gna issue1338: ok gna issue72: ok gna issue729: ok gna issue1123: ok gna issue238: ok gna issue1346: ok gna issue73: ok gna issue1125: ok gna issue1347: ok gna issue731: ok gna issue1354: ok gna issue734: ok gna issue136: ok gna issue241: ok gna issue735: ok gna issue242: ok gna bug017: ok gna issue243: ok gna issue1360: ok gna bug018: ok gna bug17309: ok gna issue244: ok gna issue25: ok gna bug17545: ok gna issue30: ok gna issue736: ok gna bug17759: ok gna issue253: ok gna issue300: ok gna bug18280: ok gna bug18351: ok gna issue254: ok gna issue1361: ok gna bug18353: ok gna issue1362: ok gna bug18359: ok gna bug18360: ok gna issue737: ok gna bug18361: ok gna issue256: ok gna issue747: ok gna issue641: ok gna bug18659: ok gna issue257: ok gna issue642: ok gna issue756: ok gna issue258: ok gna issue259: ok gna issue301: ok gna issue309: ok gna issue310: ok gna issue312: ok gna issue314: ok gna issue316: ok gna bug019: ok gna bug02: ok gna bug021: ok gna bug022: ok gna bug023: ok gna bug024: ok gna bug026: ok gna bug027: ok gna bug028: ok gna bug029: ok gna bug03: ok gna bug030: ok gna bug031: ok gna bug032: ok gna bug033: ok gna issue50: ok gna issue516: ok gna issue520: ok gna bug040: ok gna bug041: ok gna bug042: ok gna perf02-long: ok gna sr2553: ok gna bug043: ok gna sr2655: ok gna bug044: ok gna bug045: ok gna bug046: ok gna bug047: ok gna sr2676: ok gna bug048: ok gna sr2737: ok gna bug049: ok gna sr2903: ok gna issue317: ok gna sr2940: ok gna bug05: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna issue320: ok gna bug050: ok gna issue321: ok gna ticket104: ok gna issue326: ok gna bug051: ok gna ticket109: ok gna bug052: ok gna ticket11: ok gna bug053: ok gna ticket14: ok gna ticket15: ok gna bug054: ok gna bug055: ok gna issue328: ok gna bug056: ok gna ticket18: ok gna bug057: ok gna issue332: ok gna issue333: ok gna bug058: ok gna bug059: ok gna ticket19: ok gna bug06: ok gna issue339: ok gna ticket20: ok gna issue349: ok gna issue351: ok gna bug060: ok gna issue356: ok gna ticket24: ok gna issue361: ok gna issue367: ok gna ticket26: ok gna issue368: ok gna bug061: ok gna issue369: ok gna ticket29: ok gna ticket30: ok gna bug062: ok gna bug063: ok gna bug064: ok gna issue370: ok gna bug065: ok gna bug066: ok gna bug067: ok gna issue371: ok gna ticket31: ok gna bug069: ok gna issue372: ok gna issue373: ok gna issue374: ok gna bug07: ok gna bug071: ok gna issue375: ok gna bug072: ok gna ticket32: ok gna bug073: ok gna ticket35: ok gna bug074: ok gna bug075: ok gna issue376: ok gna issue379: ok gna issue38: ok gna ticket37: ok gna ticket38: ok gna bug077: ok gna ticket39: ok gna ticket40: ok gna ticket41: ok gna bug078: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna tests are successful [GHDL - test] vests Vests is OK 29235 vests.log [GHDL - test] synth ..Running with 16 test workers. synth issue1251: ok synth issue1207: ok synth issue1313: ok synth issue1107: ok synth issue1889: ok synth issue1596: ok synth synth44: ok synth issue1208: ok synth issue1050: ok synth issue1162: ok synth issue1253: ok synth issue965: ok synth issue1314: ok synth issue1316: ok synth issue1209: ok synth issue1609: ok synth issue1317: ok synth issue1210: ok synth issue972: ok synth issue1254: ok synth issue1628: ok synth issue1413: ok synth issue1318: ok synth issue1414: ok synth synth45: ok synth issue1899: ok synth issue1903: ok synth issue1417: ok synth issue412: ok synth issue1421: ok synth issue1109: ok synth issue1424: ok synth issue1054: ok synth issue1426: ok synth synth47: ok synth issue1113: ok synth issue1163: ok synth issue973: ok synth issue1114: ok synth issue1645: ok synth issue1650: ok synth issue1004: ok synth issue1164: ok synth issue1258: ok synth synth48: ok synth synth50: ok synth issue1428: ok synth issue1165: ok synth issue1430: ok synth synth52: ok synth issue1116: ok synth issue1005: ok synth issue1319: ok synth issue1006: ok synth issue1909: ok synth issue1911: ok synth issue1058: ok synth issue1007: ok synth issue1912: ok synth issue1920: ok synth issue1442: ok synth issue1926: ok synth issue1936: ok synth issue1938: ok synth issue1211: ok synth issue1942: ok synth issue1944: ok synth issue1212: ok synth slice02: ok synth issue1454: ok synth issue1321: ok synth lib01: ok synth issue1264: ok synth issue1062: ok synth issue1265: ok synth issue1117: ok synth synth56: ok synth issue1166: ok synth aggr01: ok synth issue1654: ok synth issue1658: ok synth issue1322: ok synth issue1008: ok synth issue1167: ok synth issue1665: ok synth synth58: ok synth synth60: ok synth issue1009: ok synth issue1324: ok synth issue1168: ok synth issue1011: ok synth issue1217: ok synth issue1169: ok synth lit01: ok synth issue1170: ok synth issue1218: ok synth issue1172: ok synth issue1266: ok synth synth76: ok synth issue1267: ok synth issue1271: ok synth issue1014: ok synth issue1272: ok synth issue1175: ok synth issue1018: ok synth issue1176: ok synth issue1325: ok synth issue1177: ok synth issue1064: ok synth issue1068: ok synth aggr02: ok synth issue1945: ok synth issue1178: ok synth issue1219: ok synth issue1947: ok synth issue1948: ok synth issue1949: ok synth issue1179: ok synth issue1180: ok synth issue1181: ok synth issue1182: ok synth issue1186: ok synth match01: ok synth issue1190: ok synth synth8: ok synth aggr03: ok synth synth80: ok synth issue1193: ok synth issue1220: ok synth issue1197: ok synth issue1221: ok synth issue1021: ok synth issue1023: ok synth issue1198: ok synth issue1199: ok synth issue1122: ok synth synth87: ok synth synth93: ok synth anon01: ok synth issue1225: ok synth issue1230: ok synth issue1231: ok synth issue1234: ok synth issue1236: ok synth issue1237: ok synth issue1330: ok synth issue1025: ok synth issue1032: ok synth issue1273: ok synth issue1033: ok synth issue1332: ok synth issue1277: ok synth issue1333: ok synth issue1280: ok synth issue1342: ok synth issue1282: ok synth issue662: ok synth issue1283: ok synth issue1345: ok synth issue872: ok synth issue1034: ok synth issue882: ok synth issue936: ok synth issue1951: ok synth issue1961: ok synth issue1035: ok synth issue1962: ok synth issue1675: ok synth issue1036: ok synth issue1968: ok synth issue1679: ok synth issue1971: ok synth issue1680: ok synth issue1972: ok synth issue1288: ok synth issue1681: ok synth issue1685: ok synth issue1977: ok synth issue1039: ok synth issue1698: ok synth issue1238: ok synth issue1978: ok synth issue1041: ok synth issue1239: ok synth issue1703: ok synth issue1042: ok synth issue1043: ok synth issue1044: ok synth issue1292: ok synth issue1294: ok synth uassoc01: ok synth issue1348: ok synth issue1240: ok synth issue1366: ok synth unary01: ok synth issue937: ok synth issue1046: ok synth issue1731: ok synth issue1734: ok synth issue1047: ok synth issue1780: ok synth issue1295: ok synth issue1298: ok synth issue1302: ok synth issue1307: ok synth issue1241: ok synth issue938: ok synth issue1310: ok synth issue1242: ok synth issue940: ok synth issue1243: ok synth issue941: ok synth issue1367: ok synth issue944: ok synth issue945: ok synth issue1372: ok synth issue946: ok synth issue947: ok synth issue1387: ok synth issue948: ok synth issue1069: ok synth issue1074: ok synth issue1781: ok synth issue1075: ok synth issue1832: ok synth issue1311: ok synth issue1838: ok synth issue1859: ok synth issue1250: ok synth issue1860: ok synth issue1390: ok synth issue951: ok synth issue1406: ok synth issue953: ok synth issue954: ok synth issue1407: ok synth issue1408: ok synth issue955: ok synth issue956: ok synth issue1076: ok synth dff01: ok synth issue1077: ok synth issue958: ok synth issue1078: ok synth issue1079: ok synth arr01: ok synth issue1460: ok synth issue1475: ok synth mem01: ok synth issue1481: ok synth issue960: ok synth issue1503: ok synth issue962: ok synth issue1509: ok synth issue1886: ok synth issue1080: ok synth issue1081: ok synth issue963: ok synth issue1082: ok synth issue1520: ok synth sns01: ok synth arr02: ok synth issue1083: ok synth issue964: ok synth issue1086: ok synth arr03: ok synth mem02: ok synth issue1531: ok synth issue1090: ok synth issue1095: ok synth issue1534: ok synth var01: ok synth issue1536: ok synth issue1537: ok synth issue1096: ok synth issue1099: ok synth issue1540: ok synth dff02: ok synth issue1100: ok synth issue1563: ok synth issue1572: ok synth issue1101: ok synth issue1591: ok synth mem2d01: ok synth dff03: ok synth asgn01: ok synth assertassumes0: ok synth assumeasserts0: ok synth dff05: ok synth blackbox01: ok synth block01: ok synth memmux01: ok synth bug01: ok synth bug02: ok synth bug04: ok synth null01: ok synth dispin01: ok synth case01: ok synth case02: ok synth snsuns01: ok synth stmt01: ok synth string01: ok synth oper01: ok synth cnt01: ok synth subprg01: ok synth comp01: ok synth comp02: ok synth synth104: ok synth comp03: ok synth synth108: ok synth synth110: ok synth dispout01: ok synth concat01: ok synth synth111: ok synth oper02: ok synth const01: ok synth synth115: ok synth dispvhdl01: ok synth conv01: ok synth synth12: ok synth enum01: ok synth output01: ok synth physical01: ok synth synth128: ok synth synth129: ok synth pkg01: ok synth synth14: ok synth exit01: ok synth synth154: ok synth pragma01: ok synth synth26: ok synth synth27: ok synth synth33: ok synth psl01: ok synth forgen01: ok synth psl02: ok synth range01: ok synth synth34: ok synth rec01: ok synth synth36: ok synth synth38: ok synth fsm01: ok synth synth39: ok synth ret01: ok synth simple01: ok synth fsm02: ok synth fsm03: ok synth synth40: ok synth slice01: ok synth func01: ok synth func02: ok synth func03: ok synth gen01: ok synth iassoc01: ok synth if01: ok synth if02: ok synth if03: ok synth insert01: ok synth int01: ok synth issue1002: ok synth issue1126: ok synth issue1127: ok synth issue1130: ok synth issue1132: ok synth issue1133: ok synth issue1139: ok synth issue1140: ok synth issue1144: ok synth issue1146: ok synth issue1148: ok synth issue1153: ok synth issue1155: ok synth issue1157: ok synth issue1158: ok synth issue1159: ok synth issue1160: ok synth issue1161: ok synth tests are successful [GHDL - test] vpi ..Running with 16 test workers. vpi vpi004: ok vpi vpi001: ok vpi vpi003: ok vpi vpi002: ok vpi vpi005: ok vpi tests are successful [GHDL - test] vhpi ..Running with 16 test workers. vhpi 001load_lib: ok vhpi 002load_entrypoint: ok vhpi 004trace_stdout: ok vhpi 005trace_file: ok vhpi 003load_multi_lib: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/test-gcc dh override_dh_auto_test make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' create-stamp debian/debhelper-build-stamp dh_prep debian/rules override_dh_auto_install make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_testdir ------------------------------------------------------------ Installing with mcode backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode install DESTDIR=../../debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' mkdir -p ../../debian/tmp/usr mkdir -p ../../debian/tmp/usr/bin mkdir -p ../../debian/tmp/usr/lib/ghdl/mcode mkdir -p ../../debian/tmp/usr/lib/ghdl/mcode mkdir -p ../../debian/tmp/usr/lib/ghdl/mcode/vhdl mkdir -p ../../debian/tmp/usr/lib/ghdl/include mkdir -p ../../debian/tmp/usr/lib/ghdl/include/ghdl VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl_mcode" final executable "ghdl_jit.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlrun.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "ortho_jit.ali" being checked ... "default_paths.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "simple_io.ali" being checked ... "std_names.ali" being checked ... "version.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "options.ali" being checked ... "types.ali" being checked ... "vhdl-nodes.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "dyn_tables.ali" being checked ... "tables.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "foreigns.ali" being checked ... "ghdlcomp.ali" being checked ... "grt.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-backtraces-jit.ali" being checked ... "grt-dynload.ali" being checked ... "grt-errors.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-options.ali" being checked ... "grt-processes.ali" being checked ... "grt-rtis.ali" being checked ... "grt-signals.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-values.ali" being checked ... "grtlink.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "ortho_nodes.ali" being checked ... "trans_be.ali" being checked ... "trans_decls.ali" being checked ... "translation.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "ghdlsynth.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "binary_file.ali" being checked ... "binary_file-format.ali" being checked ... "binary_file-memory.ali" being checked ... "ortho_code.ali" being checked ... "ortho_code-abi.ali" being checked ... "ortho_code-debug.ali" being checked ... "ortho_code-dwarf.ali" being checked ... "ortho_code-flags.ali" being checked ... "ortho_mcode.ali" being checked ... "ortho_mcode-jit.ali" being checked ... "symbolizer.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "psl.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nodes.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "psl-types.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-dump_tree.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "vhdl-flists.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "grt-vstrings.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-c.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-severity.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-stats.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "ortho_ident.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "disassemble.ali" being checked ... "hex_images.ali" being checked ... "memsegs.ali" being checked ... "binary_file-elf.ali" being checked ... "ortho_code-x86.ali" being checked ... "ortho_code-x86-abi.ali" being checked ... "dwarf.ali" being checked ... "ortho_code-binary.ali" being checked ... "ortho_code-consts.ali" being checked ... "ortho_code-decls.ali" being checked ... "ortho_code-types.ali" being checked ... "ortho_code-exprs.ali" being checked ... "psl-hash.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-nodes_priv.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "lists.ali" being checked ... "flists.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "disa_x86.ali" being checked ... "memsegs_mmap.ali" being checked ... "elf32.ali" being checked ... "elf64.ali" being checked ... "elf_arch.ali" being checked ... "elf_common.ali" being checked ... "ortho_code-disps.ali" being checked ... "ortho_code-x86-emits.ali" being checked ... "ortho_code-x86-insns.ali" being checked ... "ortho_code-x86-flags.ali" being checked ... "ortho_code-opts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-subsets.ali" being checked ... "trans_analyzes.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "elf_arch64.ali" being checked ... "ortho_code-x86-flags_linux64.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl_mcode" up to date. install -m 755 ghdl_mcode ../../debian/tmp/usr/bin/ghdl-mcode for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/$d; \ install -m 644 -p \ lib/ghdl/mcode/$d/* ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/$d; \ done install: omitting directory 'lib/ghdl/mcode/src/ieee/v87' install: omitting directory 'lib/ghdl/mcode/src/ieee/v93' install: omitting directory 'lib/ghdl/mcode/src/std/v08' install: omitting directory 'lib/ghdl/mcode/src/std/v87' install: omitting directory 'lib/ghdl/mcode/src/std/v93' install: omitting directory 'lib/ghdl/mcode/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/; mkdir -p ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/vendors/ install -m 755 -p lib/libghdlvpi.so ../../debian/tmp/usr/lib/ghdl/mcode/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vpi_user.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/vhpi_user.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so ../../debian/tmp/usr/lib/ghdl/mcode/ install -m 755 -p libghdl.a ../../debian/tmp/usr/lib/ghdl/mcode/ install -m 644 -p libghdl.link ../../debian/tmp/usr/lib/ghdl/mcode/ install -m 644 -p ../../src/synth/include/synth.h ../../debian/tmp/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h ../../debian/tmp/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump ../../debian/tmp/usr/bin/ install -m 755 -p lib/libghw.so ../../debian/tmp/usr/lib/ghdl/mcode/ install -m 644 -p ../../ghw/libghw.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ ../../debian/tmp/usr/bin/ghdl-mcode --disp-standard --std=87 > ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/src/std/v87/standard.vhdl ../../debian/tmp/usr/bin/ghdl-mcode --disp-standard --std=93 > ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/src/std/v93/standard.vhdl ../../debian/tmp/usr/bin/ghdl-mcode --disp-standard --std=08 > ../../debian/tmp/usr/lib/ghdl/mcode/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/x86_64-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/mcode/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/mcode/vhdl/src mv debian/tmp/usr/lib/ghdl/mcode/libghdl-*.so debian/tmp/usr/lib/x86_64-linux-gnu/ mv debian/tmp/usr/lib/ghdl/mcode/libghdl.a debian/tmp/usr/lib/x86_64-linux-gnu/ touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/install-mcode dh_testdir ------------------------------------------------------------ Installing with llvm backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm install DESTDIR=../../debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' mkdir -p ../../debian/tmp/usr mkdir -p ../../debian/tmp/usr/bin mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm/vhdl mkdir -p ../../debian/tmp/usr/lib/ghdl/include mkdir -p ../../debian/tmp/usr/lib/ghdl/include/ghdl VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#2.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "trans_be.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-types.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "default_paths.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "std_names.ali" being checked ... "version.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "vhdl-types.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "ghdlcomp.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-c.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "grt-stdio.ali" being checked ... "dyn_interning.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "interning.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "grt-table.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-main.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-stdio.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-c.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/libgrt.a ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst install -m 644 lib/ghdl/llvm/libgrt.a ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/grt.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/grt-exec.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/grt-shared.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d; \ install -m 644 -p \ lib/ghdl/llvm/$d/* ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d; \ done install: omitting directory 'lib/ghdl/llvm/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/src/std/v08' install: omitting directory 'lib/ghdl/llvm/src/std/v87' install: omitting directory 'lib/ghdl/llvm/src/std/v93' install: omitting directory 'lib/ghdl/llvm/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/; mkdir -p ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/ install -m 755 -p lib/libghdlvpi.so ../../debian/tmp/usr/lib/ghdl/llvm/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vpi_user.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/vhpi_user.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so ../../debian/tmp/usr/lib/ghdl/llvm/ install -m 755 -p libghdl.a ../../debian/tmp/usr/lib/ghdl/llvm/ install -m 644 -p libghdl.link ../../debian/tmp/usr/lib/ghdl/llvm/ install -m 644 -p ../../src/synth/include/synth.h ../../debian/tmp/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h ../../debian/tmp/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump ../../debian/tmp/usr/bin/ install -m 755 -p lib/libghw.so ../../debian/tmp/usr/lib/ghdl/llvm/ install -m 644 -p ../../ghw/libghw.h ../../debian/tmp/usr/lib/ghdl/include/ghdl/ ../../debian/tmp/usr/bin/ghdl-llvm --disp-standard --std=87 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl ../../debian/tmp/usr/bin/ghdl-llvm --disp-standard --std=93 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl ../../debian/tmp/usr/bin/ghdl-llvm --disp-standard --std=08 > ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/x86_64-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/llvm/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/llvm/vhdl/src mv debian/tmp/usr/lib/ghdl/llvm/libghdl-*.so debian/tmp/usr/lib/x86_64-linux-gnu/ mv debian/tmp/usr/lib/ghdl/llvm/libghdl.a debian/tmp/usr/lib/x86_64-linux-gnu/ touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/install-llvm dh_testdir ------------------------------------------------------------ Installing with gcc backend ------------------------------------------------------------ # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards. mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ghdl-gcc /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /bin/sh ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include/README /usr/bin/install -c fixinc.sh /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc.sh /usr/bin/install -c fixincl /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixincl /usr/bin/install -c mkheaders /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' /bin/sh ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_be.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "default_paths.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "ghdlcomp.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "synth.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synthesis.ali" being checked ... "vhdl-annotations.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "grt-c.ali" being checked ... "elab-vhdl_values.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "mutils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-locations.ali" being checked ... "types_utils.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "synth-errors.ali" being checked ... "netlists-builders.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "netlists-concats.ali" being checked ... "synth-source.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "grt-table.ali" being checked ... "synth-static_oper.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-lto-dump-12 mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin mkdir: cannot create directory '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin': File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:163: vhdl.install-common] Error 1 (ignored) rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib mkdir: cannot create directory '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib': File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:166: vhdl.install-common] Error 1 (ignored) mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 gm2l gm2lcc gm2lgen gm2lsub gm2cc gm2lorder cc1obj cc1objplus ghdl1; do \ if [ -f $file ] ; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ else true; fi; \ done rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-12; \ /usr/bin/install -c gcov /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-12; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-tool-12; \ /usr/bin/install -c \ gcov-tool /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-tool-12; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ /usr/bin/install -c \ gcov-dump /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ fi; \ fi /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed chmod a+rx /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed; tar xpf - ) files=`cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed/$i; \ fi; \ done; \ fi /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-cpp-12; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-cpp-12; \ if [ x != x ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc//x86_64-linux-gnu-cpp-12; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc//x86_64-linux-gnu-cpp-12; \ else true; fi; \ fi rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 /usr/bin/install -c -m 644 doc/gcc.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcc-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-cpp-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-tool-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-gcov-dump-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 /usr/bin/install -c -m 644 doc/lto-dump.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/x86_64-linux-gnu-lto-dump-12.1 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info if [ -f doc/cpp.info ]; then \ for f in doc/cpp.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info if [ -f doc/gcc.info ]; then \ for f in doc/gcc.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info if [ -f doc/cppinternals.info ]; then \ for f in doc/cppinternals.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info if [ -f doc/gccinstall.info ]; then \ for f in doc/gccinstall.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info if [ -f doc/gccint.info ]; then \ for f in doc/gccint.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/gcc-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/gcc-12.mo; \ done /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 if test "" != "yes" ; then \ if [ "x86_64-linux-gnu-gcc-12" != "x86_64-linux-gnu-gcc-12" ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln x86_64-linux-gnu-gcc-12 x86_64-linux-gnu-gcc-12 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "x86_64-linux-gnu-gcc-12" != "x86_64-linux-gnu-x86_64-linux-gnu-gcc-12" ]; then \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-tmp; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln x86_64-linux-gnu-gcc-12 x86_64-linux-gnu-gcc-tmp && \ mv -f x86_64-linux-gnu-gcc-tmp x86_64-linux-gnu-x86_64-linux-gnu-gcc-12 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-12&;s&^&x86_64-linux-gnu-&'` ;\ target_install_name=x86_64-linux-gnu-`echo $i|sed 's&$&-12&;s&^&x86_64-linux-gnu-&'` ; \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gtype.state /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gengtype /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/common/config/i386/i386-cpuinfo.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/i386/i386-isa.def ../../src/gcc/config/i386/i386-opts.h ../../src/gcc/config/i386/i386-protos.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/i386/stringop.def ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/x86-tune.def ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/i386/att.h config/i386/biarch64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux-common.h config/i386/linux64.h config/i386/unix.h config/i386/x86-64.h config/initfini-array.h config/linux-android.h config/linux-protos.h config/linux.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dest; \ dir=`dirname $dest`; \ /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/common/config/i386/i386-cpuinfo.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/common/config/i386/i386-cpuinfo.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/common/config/i386 /usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/dbxelf.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/att.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/att.h mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386 /usr/bin/install -c -m 644 ../../src/gcc/config/i386/biarch64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-isa.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-isa.def /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/stringop.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/stringop.def /usr/bin/install -c -m 644 ../../src/gcc/config/i386/unix.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/unix.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-tune.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-tune.def /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-android.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cp/cp-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/d/d-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/m2/m2-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/objc/objc-tree.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-common.def mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/dbxelf.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/dbxelf.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/att.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/att.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/biarch64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/gnu-user64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/gnu-user64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/i386.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/i386.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/linux64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/unix.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/unix.h /usr/bin/install -c -m 644 ../../src/gcc/config/i386/x86-64.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/i386/x86-64.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-android.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-android.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 configargs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dbxout.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dbxout.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-evrp-analyze.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-evrp-analyze.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gstab.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gstab.h /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/stab.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stab.def /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-equiv.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-range-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/xcoff.h /usr/bin/install -c -m 644 ../../src/gcc/xcoffout.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/xcoffout.h /usr/bin/install -c -m 644 b-header-vars /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/b-header-vars make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/pt_BR.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/cpplib-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/cpplib-12.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-12.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-12.mo make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;x86_64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp${thd}; \ done; \ fi make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' /bin/sh ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a /usr/bin/install -c -m 644 libgcov.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcov.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/$file; \ /usr/bin/install -c -m 644 $file /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/; \ case $file in \ *.a) \ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-main.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-hooks.ali" being checked ... "grt-stdio.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-modules.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-c.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-table.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/libgrt.a ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/grt.lst mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl install -m 644 lib/ghdl/gcc/libgrt.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/grt.lst /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/grt-exec.lst /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/grt-shared.lst /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.ver /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/libbacktrace.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d; \ install -m 644 -p \ lib/ghdl/gcc/$d/* /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d; \ done install: omitting directory 'lib/ghdl/gcc/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/src/std/v08' install: omitting directory 'lib/ghdl/gcc/src/std/v87' install: omitting directory 'lib/ghdl/gcc/src/std/v93' install: omitting directory 'lib/ghdl/gcc/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/; mkdir -p /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors install -m 644 -p \ ../../scripts/vendors/* /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/ install -m 755 -p \ ../../scripts/vendors/*.sh /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/ install -m 755 -p lib/libghdlvpi.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 644 -p /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/vhpi_user.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/ install -m 755 -p lib/libghdl-2_0_0.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/ install -m 755 -p libghdl.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/ install -m 644 -p libghdl.link /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/ install -m 644 -p ../../src/synth/include/synth.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl install -m 644 -p ../../src/synth/include/synth_gates.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl install -m 755 -p ghwdump /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ install -m 755 -p lib/libghw.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/ install -m 644 -p ../../ghw/libghw.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ghdl-gcc --disp-standard --std=87 > /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ghdl-gcc --disp-standard --std=93 > /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ghdl-gcc --disp-standard --std=08 > /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/x86_64-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/gcc/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/gcc/vhdl/src mv debian/tmp/usr/lib/ghdl/gcc/libghdl-*.so debian/tmp/usr/lib/x86_64-linux-gnu/ mv debian/tmp/usr/lib/ghdl/gcc/libghdl.a debian/tmp/usr/lib/x86_64-linux-gnu/ touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/install-gcc ------------------------------------------------------------ Common install final steps ------------------------------------------------------------ install -pD debian/ghdl.wrapper /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/bin/ghdl cd debian/tmp/usr/lib/x86_64-linux-gnu && ln -s libghdl-*.so libghdl.so rm -f debian/tmp/usr/lib/ghdl/src/ieee2008/LICENSE make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_install debian/rules override_dh_installdocs make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_installdocs -pghdl -pghdl-mcode -pghdl-llvm -pghdl-gcc --link-doc=ghdl-common dh_installdocs --remaining-packages make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_installchangelogs dh_installman dh_lintian dh_perl dh_link dh_strip_nondeterminism dh_compress dh_fixperms dh_missing debian/rules override_dh_dwz make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' # dwz currently has problems with some debug sections created by the # current LLVM compiler and would cause this step to fail. #dh_dwz -a -Nghdl-llvm # Furthermore it appears to have problems with something in # libghdlvpi.so and runs into assertions, so disable it altogether for # now. make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb debian/rules override_dh_gencontrol make[1]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_gencontrol -- -VBuilt-Using-GCC="gcc-12 (= 12.2.0-14)" dpkg-gencontrol: warning: Depends field of package ghdl-common: substitution variable ${shlibs:Depends} used, but is not defined make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_md5sums dh_builddeb dpkg-deb: building package 'ghdl-mcode' in '../ghdl-mcode_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-gcc-dbgsym' in '../ghdl-gcc-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-mcode-dbgsym' in '../ghdl-mcode-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl' in '../ghdl_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'libghdl-2-0-0' in '../libghdl-2-0-0_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-common' in '../ghdl-common_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-llvm-dbgsym' in '../ghdl-llvm-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-tools-dbgsym' in '../ghdl-tools-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'libghdl-dev' in '../libghdl-dev_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'libghdl-2-0-0-dbgsym' in '../libghdl-2-0-0-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_2.0.0+dfsg-6.2_amd64.deb'. dpkg-genbuildinfo --build=binary -O../ghdl_2.0.0+dfsg-6.2_amd64.buildinfo dpkg-genchanges --build=binary -O../ghdl_2.0.0+dfsg-6.2_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/B01_cleanup starting I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/2113136 and its subdirectories I: Current time: Sun Feb 16 05:45:38 +14 2025 I: pbuilder-time-stamp: 1739634338