Diff of the two buildlogs: -- --- b1/build.log 2021-06-27 03:05:52.487686740 +0000 +++ b2/build.log 2021-06-27 03:42:59.208571172 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Fri Jul 29 21:19:55 -12 2022 -I: pbuilder-time-stamp: 1659172795 +I: Current time: Sun Jun 27 17:05:56 +14 2021 +I: pbuilder-time-stamp: 1624763156 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bullseye-reproducible-base.tgz] I: copying local configuration @@ -17,8 +17,8 @@ I: copying [./yosys_0.9-1.debian.tar.xz] I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' -gpgv: keyblock resource '/tmp/dpkg-verify-sig.tdZzsGiP/trustedkeys.kbx': General error -gpgv: Signature made Fri Oct 18 09:29:33 2019 -12 +gpgv: keyblock resource '/tmp/dpkg-verify-sig.k6p8Cpmd/trustedkeys.kbx': General error +gpgv: Signature made Sat Oct 19 11:29:33 2019 +14 gpgv: using RSA key 4DE4DF3DE29E3EEA6954E5CE1A76F5FC12968B79 gpgv: issuer "ruben.undheim@gmail.com" gpgv: Can't check signature: No public key @@ -43,137 +43,171 @@ dpkg-source: info: applying 0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch I: using fakeroot in build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/3326/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/D01_modify_environment starting +debug: Running on ionos2-i386. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +Removing 'diversion of /bin/sh to /bin/sh.distrib by dash' +Adding 'diversion of /bin/sh to /bin/sh.distrib by bash' +Removing 'diversion of /usr/share/man/man1/sh.1.gz to /usr/share/man/man1/sh.distrib.1.gz by dash' +Adding 'diversion of /usr/share/man/man1/sh.1.gz to /usr/share/man/man1/sh.distrib.1.gz by bash' +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='i386' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all,-fixfilepath parallel=18' - DISTRIBUTION='' - HOME='/root' - HOST_ARCH='i386' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:hostcomplete:interactive_comments:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="1" [2]="4" [3]="1" [4]="release" [5]="i686-pc-linux-gnu") + BASH_VERSION='5.1.4(1)-release' + BUILDDIR=/build + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=i386 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all,-fixfilepath parallel=10' + DIRSTACK=() + DISTRIBUTION= + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=i686 + HOST_ARCH=i386 IFS=' ' - INVOCATION_ID='9b803d84001247108d216b43135118f0' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - LD_LIBRARY_PATH='/usr/lib/libeatmydata' - LD_PRELOAD='libeatmydata.so' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='3326' - PS1='# ' - PS2='> ' + INVOCATION_ID=6bcd412e4d234b658f48417ba68b0beb + LANG=C + LANGUAGE=de_CH:de + LC_ALL=C + LD_LIBRARY_PATH=/usr/lib/libeatmydata + LD_PRELOAD=libeatmydata.so + MACHTYPE=i686-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=8254 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.YBG39avGT4/pbuilderrc_Z9ea --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bullseye-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.YBG39avGT4/b1 --logfile b1/build.log yosys_0.9-1.dsc' - SUDO_GID='112' - SUDO_UID='107' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://85.184.249.68:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.YBG39avGT4/pbuilderrc_yHwZ --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bullseye-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.YBG39avGT4/b2 --logfile b2/build.log --extrapackages usrmerge yosys_0.9-1.dsc' + SUDO_GID=112 + SUDO_UID=107 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://78.137.99.97:3128 I: uname -a - Linux ionos6-i386 4.19.0-17-amd64 #1 SMP Debian 4.19.194-1 (2021-06-10) x86_64 GNU/Linux + Linux i-capture-the-hostname 4.19.0-17-686-pae #1 SMP Debian 4.19.194-2 (2021-06-21) i686 GNU/Linux I: ls -l /bin - total 5776 - -rwxr-xr-x 1 root root 1367848 Jun 21 2021 bash - -rwxr-xr-x 3 root root 38280 Jul 20 2020 bunzip2 - -rwxr-xr-x 3 root root 38280 Jul 20 2020 bzcat - lrwxrwxrwx 1 root root 6 Jul 20 2020 bzcmp -> bzdiff - -rwxr-xr-x 1 root root 2225 Jul 20 2020 bzdiff - lrwxrwxrwx 1 root root 6 Jul 20 2020 bzegrep -> bzgrep - -rwxr-xr-x 1 root root 4877 Sep 4 2019 bzexe - lrwxrwxrwx 1 root root 6 Jul 20 2020 bzfgrep -> bzgrep - -rwxr-xr-x 1 root root 3775 Jul 20 2020 bzgrep - -rwxr-xr-x 3 root root 38280 Jul 20 2020 bzip2 - -rwxr-xr-x 1 root root 17768 Jul 20 2020 bzip2recover - lrwxrwxrwx 1 root root 6 Jul 20 2020 bzless -> bzmore - -rwxr-xr-x 1 root root 1297 Jul 20 2020 bzmore - -rwxr-xr-x 1 root root 38824 Sep 22 2020 cat - -rwxr-xr-x 1 root root 71624 Sep 22 2020 chgrp - -rwxr-xr-x 1 root root 67528 Sep 22 2020 chmod - -rwxr-xr-x 1 root root 75752 Sep 22 2020 chown - -rwxr-xr-x 1 root root 157960 Sep 22 2020 cp - -rwxr-xr-x 1 root root 128724 Dec 10 2020 dash - -rwxr-xr-x 1 root root 124904 Sep 22 2020 date - -rwxr-xr-x 1 root root 92172 Sep 22 2020 dd - -rwxr-xr-x 1 root root 100752 Sep 22 2020 df - -rwxr-xr-x 1 root root 153964 Sep 22 2020 dir - -rwxr-xr-x 1 root root 83644 Feb 7 2021 dmesg - lrwxrwxrwx 1 root root 8 Nov 6 2019 dnsdomainname -> hostname - lrwxrwxrwx 1 root root 8 Nov 6 2019 domainname -> hostname - -rwxr-xr-x 1 root root 34664 Sep 22 2020 echo - -rwxr-xr-x 1 root root 28 Nov 9 2020 egrep - -rwxr-xr-x 1 root root 34664 Sep 22 2020 false - -rwxr-xr-x 1 root root 28 Nov 9 2020 fgrep - -rwxr-xr-x 1 root root 71928 Feb 7 2021 findmnt - -rwsr-xr-x 1 root root 30112 Feb 26 2021 fusermount - -rwxr-xr-x 1 root root 210488 Nov 9 2020 grep - -rwxr-xr-x 2 root root 2346 Mar 2 2021 gunzip - -rwxr-xr-x 1 root root 6376 Mar 2 2021 gzexe - -rwxr-xr-x 1 root root 100952 Mar 2 2021 gzip - -rwxr-xr-x 1 root root 21916 Nov 6 2019 hostname - -rwxr-xr-x 1 root root 83980 Sep 22 2020 ln - -rwxr-xr-x 1 root root 55572 Feb 7 2020 login - -rwxr-xr-x 1 root root 153964 Sep 22 2020 ls - -rwxr-xr-x 1 root root 153124 Feb 7 2021 lsblk - -rwxr-xr-x 1 root root 96328 Sep 22 2020 mkdir - -rwxr-xr-x 1 root root 79912 Sep 22 2020 mknod - -rwxr-xr-x 1 root root 47048 Sep 22 2020 mktemp - -rwxr-xr-x 1 root root 58920 Feb 7 2021 more - -rwsr-xr-x 1 root root 50720 Feb 7 2021 mount - -rwxr-xr-x 1 root root 13856 Feb 7 2021 mountpoint - -rwxr-xr-x 1 root root 157996 Sep 22 2020 mv - lrwxrwxrwx 1 root root 8 Nov 6 2019 nisdomainname -> hostname - lrwxrwxrwx 1 root root 14 Apr 18 2021 pidof -> /sbin/killall5 - -rwxr-xr-x 1 root root 38824 Sep 22 2020 pwd - lrwxrwxrwx 1 root root 4 Jun 21 2021 rbash -> bash - -rwxr-xr-x 1 root root 46984 Sep 22 2020 readlink - -rwxr-xr-x 1 root root 75720 Sep 22 2020 rm - -rwxr-xr-x 1 root root 46984 Sep 22 2020 rmdir - -rwxr-xr-x 1 root root 22292 Sep 27 2020 run-parts - -rwxr-xr-x 1 root root 125036 Dec 22 2018 sed - lrwxrwxrwx 1 root root 4 Jul 28 03:48 sh -> dash - -rwxr-xr-x 1 root root 34696 Sep 22 2020 sleep - -rwxr-xr-x 1 root root 83880 Sep 22 2020 stty - -rwsr-xr-x 1 root root 79396 Feb 7 2021 su - -rwxr-xr-x 1 root root 34696 Sep 22 2020 sync - -rwxr-xr-x 1 root root 602584 Feb 16 2021 tar - -rwxr-xr-x 1 root root 13860 Sep 27 2020 tempfile - -rwxr-xr-x 1 root root 108520 Sep 22 2020 touch - -rwxr-xr-x 1 root root 34664 Sep 22 2020 true - -rwxr-xr-x 1 root root 17768 Feb 26 2021 ulockmgr_server - -rwsr-xr-x 1 root root 30236 Feb 7 2021 umount - -rwxr-xr-x 1 root root 34664 Sep 22 2020 uname - -rwxr-xr-x 2 root root 2346 Mar 2 2021 uncompress - -rwxr-xr-x 1 root root 153964 Sep 22 2020 vdir - -rwxr-xr-x 1 root root 63024 Feb 7 2021 wdctl - lrwxrwxrwx 1 root root 8 Nov 6 2019 ypdomainname -> hostname - -rwxr-xr-x 1 root root 1984 Mar 2 2021 zcat - -rwxr-xr-x 1 root root 1678 Mar 2 2021 zcmp - -rwxr-xr-x 1 root root 5880 Mar 2 2021 zdiff - -rwxr-xr-x 1 root root 29 Mar 2 2021 zegrep - -rwxr-xr-x 1 root root 29 Mar 2 2021 zfgrep - -rwxr-xr-x 1 root root 2081 Mar 2 2021 zforce - -rwxr-xr-x 1 root root 7585 Mar 2 2021 zgrep - -rwxr-xr-x 1 root root 2206 Mar 2 2021 zless - -rwxr-xr-x 1 root root 1842 Mar 2 2021 zmore - -rwxr-xr-x 1 root root 4553 Mar 2 2021 znew -I: user script /srv/workspace/pbuilder/3326/tmp/hooks/D02_print_environment finished + total 5784 + -rwxr-xr-x 1 root root 1367848 Jun 22 16:25 bash + -rwxr-xr-x 3 root root 38280 Jul 21 2020 bunzip2 + -rwxr-xr-x 3 root root 38280 Jul 21 2020 bzcat + lrwxrwxrwx 1 root root 6 Jul 21 2020 bzcmp -> bzdiff + -rwxr-xr-x 1 root root 2225 Jul 21 2020 bzdiff + lrwxrwxrwx 1 root root 6 Jul 21 2020 bzegrep -> bzgrep + -rwxr-xr-x 1 root root 4877 Sep 5 2019 bzexe + lrwxrwxrwx 1 root root 6 Jul 21 2020 bzfgrep -> bzgrep + -rwxr-xr-x 1 root root 3775 Jul 21 2020 bzgrep + -rwxr-xr-x 3 root root 38280 Jul 21 2020 bzip2 + -rwxr-xr-x 1 root root 17768 Jul 21 2020 bzip2recover + lrwxrwxrwx 1 root root 6 Jul 21 2020 bzless -> bzmore + -rwxr-xr-x 1 root root 1297 Jul 21 2020 bzmore + -rwxr-xr-x 1 root root 38824 Sep 23 2020 cat + -rwxr-xr-x 1 root root 71624 Sep 23 2020 chgrp + -rwxr-xr-x 1 root root 67528 Sep 23 2020 chmod + -rwxr-xr-x 1 root root 75752 Sep 23 2020 chown + -rwxr-xr-x 1 root root 157960 Sep 23 2020 cp + -rwxr-xr-x 1 root root 128724 Dec 11 2020 dash + -rwxr-xr-x 1 root root 124904 Sep 23 2020 date + -rwxr-xr-x 1 root root 92172 Sep 23 2020 dd + -rwxr-xr-x 1 root root 100752 Sep 23 2020 df + -rwxr-xr-x 1 root root 153964 Sep 23 2020 dir + -rwxr-xr-x 1 root root 83644 Feb 8 04:38 dmesg + lrwxrwxrwx 1 root root 8 Nov 8 2019 dnsdomainname -> hostname + lrwxrwxrwx 1 root root 8 Nov 8 2019 domainname -> hostname + -rwxr-xr-x 1 root root 34664 Sep 23 2020 echo + -rwxr-xr-x 1 root root 28 Nov 10 2020 egrep + -rwxr-xr-x 1 root root 34664 Sep 23 2020 false + -rwxr-xr-x 1 root root 28 Nov 10 2020 fgrep + -rwxr-xr-x 1 root root 71928 Feb 8 04:38 findmnt + -rwsr-xr-x 1 root root 30112 Feb 27 06:12 fusermount + -rwxr-xr-x 1 root root 210488 Nov 10 2020 grep + -rwxr-xr-x 2 root root 2346 Mar 3 13:30 gunzip + -rwxr-xr-x 1 root root 6376 Mar 3 13:30 gzexe + -rwxr-xr-x 1 root root 100952 Mar 3 13:30 gzip + -rwxr-xr-x 1 root root 21916 Nov 8 2019 hostname + -rwxr-xr-x 1 root root 83980 Sep 23 2020 ln + -rwxr-xr-x 1 root root 55572 Feb 8 2020 login + -rwxr-xr-x 1 root root 153964 Sep 23 2020 ls + -rwxr-xr-x 1 root root 153124 Feb 8 04:38 lsblk + -rwxr-xr-x 1 root root 96328 Sep 23 2020 mkdir + -rwxr-xr-x 1 root root 79912 Sep 23 2020 mknod + -rwxr-xr-x 1 root root 47048 Sep 23 2020 mktemp + -rwxr-xr-x 1 root root 58920 Feb 8 04:38 more + -rwsr-xr-x 1 root root 50720 Feb 8 04:38 mount + -rwxr-xr-x 1 root root 13856 Feb 8 04:38 mountpoint + -rwxr-xr-x 1 root root 157996 Sep 23 2020 mv + lrwxrwxrwx 1 root root 8 Nov 8 2019 nisdomainname -> hostname + lrwxrwxrwx 1 root root 14 Apr 19 05:38 pidof -> /sbin/killall5 + -rwxr-xr-x 1 root root 38824 Sep 23 2020 pwd + lrwxrwxrwx 1 root root 4 Jun 22 16:25 rbash -> bash + -rwxr-xr-x 1 root root 46984 Sep 23 2020 readlink + -rwxr-xr-x 1 root root 75720 Sep 23 2020 rm + -rwxr-xr-x 1 root root 46984 Sep 23 2020 rmdir + -rwxr-xr-x 1 root root 22292 Sep 28 2020 run-parts + -rwxr-xr-x 1 root root 125036 Dec 23 2018 sed + lrwxrwxrwx 1 root root 4 Jun 27 17:08 sh -> bash + lrwxrwxrwx 1 root root 4 Jun 26 23:27 sh.distrib -> dash + -rwxr-xr-x 1 root root 34696 Sep 23 2020 sleep + -rwxr-xr-x 1 root root 83880 Sep 23 2020 stty + -rwsr-xr-x 1 root root 79396 Feb 8 04:38 su + -rwxr-xr-x 1 root root 34696 Sep 23 2020 sync + -rwxr-xr-x 1 root root 602584 Feb 17 23:55 tar + -rwxr-xr-x 1 root root 13860 Sep 28 2020 tempfile + -rwxr-xr-x 1 root root 108520 Sep 23 2020 touch + -rwxr-xr-x 1 root root 34664 Sep 23 2020 true + -rwxr-xr-x 1 root root 17768 Feb 27 06:12 ulockmgr_server + -rwsr-xr-x 1 root root 30236 Feb 8 04:38 umount + -rwxr-xr-x 1 root root 34664 Sep 23 2020 uname + -rwxr-xr-x 2 root root 2346 Mar 3 13:30 uncompress + -rwxr-xr-x 1 root root 153964 Sep 23 2020 vdir + -rwxr-xr-x 1 root root 63024 Feb 8 04:38 wdctl + lrwxrwxrwx 1 root root 8 Nov 8 2019 ypdomainname -> hostname + -rwxr-xr-x 1 root root 1984 Mar 3 13:30 zcat + -rwxr-xr-x 1 root root 1678 Mar 3 13:30 zcmp + -rwxr-xr-x 1 root root 5880 Mar 3 13:30 zdiff + -rwxr-xr-x 1 root root 29 Mar 3 13:30 zegrep + -rwxr-xr-x 1 root root 29 Mar 3 13:30 zfgrep + -rwxr-xr-x 1 root root 2081 Mar 3 13:30 zforce + -rwxr-xr-x 1 root root 7585 Mar 3 13:30 zgrep + -rwxr-xr-x 1 root root 2206 Mar 3 13:30 zless + -rwxr-xr-x 1 root root 1842 Mar 3 13:30 zmore + -rwxr-xr-x 1 root root 4553 Mar 3 13:30 znew +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -413,7 +447,7 @@ Get: 154 http://deb.debian.org/debian bullseye/main i386 texlive-publishers all 2020.20210202-3 [14.4 MB] Get: 155 http://deb.debian.org/debian bullseye/main i386 texlive-science all 2020.20210202-3 [3367 kB] Get: 156 http://deb.debian.org/debian bullseye/main i386 txt2man all 1.7.1-1 [37.7 kB] -Fetched 853 MB in 12s (70.5 MB/s) +Fetched 853 MB in 3min 22s (4214 kB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package bsdextrautils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19675 files and directories currently installed.) @@ -1080,9 +1114,41 @@ Building dependency tree... Reading state information... fakeroot is already the newest version (1.25.3-1.1). -0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. +The following additional packages will be installed: + libfile-find-rule-perl libnumber-compare-perl libtext-glob-perl +The following NEW packages will be installed: + libfile-find-rule-perl libnumber-compare-perl libtext-glob-perl usrmerge +0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. +Need to get 59.5 kB of archives. +After this operation, 157 kB of additional disk space will be used. +Get:1 http://deb.debian.org/debian bullseye/main i386 libnumber-compare-perl all 0.03-1.1 [6956 B] +Get:2 http://deb.debian.org/debian bullseye/main i386 libtext-glob-perl all 0.11-1 [8888 B] +Get:3 http://deb.debian.org/debian bullseye/main i386 libfile-find-rule-perl all 0.34-1 [30.6 kB] +Get:4 http://deb.debian.org/debian bullseye/main i386 usrmerge all 25 [13.0 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 59.5 kB in 0s (497 kB/s) +Selecting previously unselected package libnumber-compare-perl. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 157354 files and directories currently installed.) +Preparing to unpack .../libnumber-compare-perl_0.03-1.1_all.deb ... +Unpacking libnumber-compare-perl (0.03-1.1) ... +Selecting previously unselected package libtext-glob-perl. +Preparing to unpack .../libtext-glob-perl_0.11-1_all.deb ... +Unpacking libtext-glob-perl (0.11-1) ... +Selecting previously unselected package libfile-find-rule-perl. +Preparing to unpack .../libfile-find-rule-perl_0.34-1_all.deb ... +Unpacking libfile-find-rule-perl (0.34-1) ... +Selecting previously unselected package usrmerge. +Preparing to unpack .../archives/usrmerge_25_all.deb ... +Unpacking usrmerge (25) ... +Setting up libtext-glob-perl (0.11-1) ... +Setting up libnumber-compare-perl (0.03-1.1) ... +Setting up libfile-find-rule-perl (0.34-1) ... +Setting up usrmerge (25) ... +The system has been successfully converted. +Processing triggers for man-db (2.9.4-2) ... +Not building database; man-db/auto-update is not 'true'. I: Building the package -I: Running cd /build/yosys-0.9/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b +I: Running cd /build/yosys-0.9/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.9-1 dpkg-buildpackage: info: source distribution unstable @@ -1094,15 +1160,17 @@ debian/rules override_dh_auto_clean make[1]: Entering directory '/build/yosys-0.9' dh_auto_clean - make -j18 clean + make -j10 clean make[2]: Entering directory '/build/yosys-0.9' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi +find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f +find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f +find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f -find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f @@ -1115,14 +1183,12 @@ find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f -find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f +find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f +find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f -find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f -find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f -find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d @@ -1151,10 +1217,12 @@ rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi +find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f +find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f +find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f -find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f @@ -1167,14 +1235,12 @@ find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f -find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f +find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f +find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f -find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f -find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f -find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d @@ -1193,37 +1259,39 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/yosys-0.9' dh_auto_build --parallel -- all - make -j18 "INSTALL=install --strip-program=true" all + make -j10 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/yosys-0.9' [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common -mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 1979e0b)\"; }" > kernel/version_1979e0b.cc +mkdir -p techlibs/common gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc +mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new -mkdir -p techlibs/common +mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 1979e0b)\"; }" > kernel/version_1979e0b.cc +python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new +gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ mkdir -p kernel/ mkdir -p kernel/ -python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new -gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc +gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc mkdir -p kernel/ mkdir -p kernel/ -mkdir -p kernel/ -mkdir -p libs/bigint/ -gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc +mkdir -p libs/bigint/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p libs/bigint/ +gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p libs/bigint/ +gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ mkdir -p libs/bigint/ -gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc -gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ @@ -1234,8 +1302,6 @@ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ @@ -1257,17 +1323,6 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ -mkdir -p libs/minisat/ -gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc -mkdir -p frontends/aiger/ -gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc -mkdir -p frontends/ast/ -gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc -mkdir -p frontends/ast/ -gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc -mkdir -p frontends/ast/ -gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc -mkdir -p frontends/ast/ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': @@ -1283,6 +1338,17 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ +mkdir -p libs/minisat/ +gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc +mkdir -p frontends/aiger/ +gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc +mkdir -p frontends/ast/ +gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc +mkdir -p frontends/ast/ +gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc +mkdir -p frontends/ast/ +gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc +mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc @@ -1435,8 +1501,8 @@ mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/opt/ -gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ +gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc @@ -1661,85 +1727,85 @@ mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new +mkdir -p share/include/kernel/ +mkdir -p share/include/kernel/ chmod +x yosys-smtbmc.new +cp "./"/kernel/yosys.h share/include/kernel/yosys.h +cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ -cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ -cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h -mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h -mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ -cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ +cp "./"/kernel/celledges.h share/include/kernel/celledges.h cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ -cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ +cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ +mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h -mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/libs/ezsat/ -cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ +cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ -mkdir -p share/include/frontends/ast/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h -cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h +mkdir -p share/include/frontends/ast/ mkdir -p share/include/backends/ilang/ -cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 +cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h +cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/achronix/speedster22i/ -cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ -cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v +cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/anlogic +cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic -cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic +cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams.txt share/anlogic/drams.txt mkdir -p share/anlogic -mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams_map.v share/anlogic/drams_map.v +mkdir -p share/anlogic cp "./"/techlibs/anlogic/dram_init_16x4.vh share/anlogic/dram_init_16x4.vh mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share -cp "./"/techlibs/common/simcells.v share/simcells.v -mkdir -p share mkdir -p share +cp "./"/techlibs/common/simcells.v share/simcells.v cp "./"/techlibs/common/techmap.v share/techmap.v +mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share -cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share +cp "./"/techlibs/common/adff2dff.v share/adff2dff.v cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share -cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share +cp "./"/techlibs/common/gate2lut.v share/gate2lut.v cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share -cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/coolrunner2 +cp "./"/techlibs/common/cells.lib share/cells.lib cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 mkdir -p share/coolrunner2 @@ -1750,18 +1816,18 @@ cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v @@ -1769,31 +1835,29 @@ python3 techlibs/ecp5/brams_init.py mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_connect.py -touch techlibs/ecp5/brams_init.mk mkdir -p share/gowin -touch techlibs/ecp5/brams_connect.mk -cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin +cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v +mkdir -p share/gowin cp "./"/techlibs/gowin/bram.txt share/gowin/bram.txt +cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v mkdir -p share/gowin mkdir -p share/gowin -cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v cp "./"/techlibs/gowin/dram.txt share/gowin/dram.txt -mkdir -p share/gowin mkdir -p share/greenpak4 cp "./"/techlibs/gowin/brams_init3.vh share/gowin/brams_init3.vh +mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v +cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 -cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v -mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v @@ -1802,97 +1866,99 @@ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 -cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 +cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib +touch techlibs/ecp5/brams_connect.mk cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v -cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 +cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py mkdir -p share/intel/common +mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v -mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt mkdir -p share/intel/common +touch techlibs/ecp5/brams_init.mk cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/a10gx -cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev +cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v -touch techlibs/ice40/brams_init.mk mkdir -p share/intel/cycloneive -cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 +cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/a10gx -cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v mkdir -p share/intel/cyclonev +cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v mkdir -p share/intel/cyclone10 -mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v -cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v +mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive -mkdir -p share/sf2 +cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v -cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 mkdir -p share/sf2 +cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v +mkdir -p share/sf2 +mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v -mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt +mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v -mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p techlibs/xilinx python3 techlibs/xilinx/brams_init.py mkdir -p kernel/ -gcc -o kernel/version_1979e0b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_1979e0b.cc mkdir -p kernel/ +touch techlibs/ice40/brams_init.mk +gcc -o kernel/version_1979e0b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_1979e0b.cc gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -touch techlibs/xilinx/brams_init.mk mkdir -p frontends/ilang/ -gcc -o frontends/ilang/ilang_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc mkdir -p frontends/ilang/ +gcc -o frontends/ilang/ilang_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc gcc -o frontends/ilang/ilang_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ -gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ +gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc +touch techlibs/xilinx/brams_init.mk mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/yosys-0.9=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ @@ -1939,14 +2005,14 @@ make[1]: Entering directory '/build/yosys-0.9' sed -i 's/REPLACEWITHDATE/October 18, 2019/' manual/presentation.tex PDF_DATE=D:20191018212734Z dh_auto_build --parallel -- all manual - make -j18 "INSTALL=install --strip-program=true" all manual + make -j10 "INSTALL=install --strip-program=true" all manual make[2]: Entering directory '/build/yosys-0.9' [Makefile.conf] CONFIG := gcc cd manual && PDF_DATE=D:20191018212734Z bash appnotes.sh Build successful. -+ for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR ++ for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' @@ -4775,9 +4841,9 @@ Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: a86a572a1b -CPU: user 0.08s system 0.00s, MEM: 16.76 MB total, 10.70 MB resident +CPU: user 0.14s system 0.00s, MEM: 16.76 MB total, 10.90 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 17% 4x read_verilog (0 sec), 17% 9x opt_clean (0 sec), ... +Time spent: 18% 4x read_verilog (0 sec), 16% 9x opt_clean (0 sec), ... make[3]: Leaving directory '/build/yosys-0.9/manual/PRESENTATION_Intro' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20191018212734Z)#' PRESENTATION_Intro/counter_00.pdf PRESENTATION_Intro/counter_01.pdf PRESENTATION_Intro/counter_02.pdf PRESENTATION_Intro/counter_03.pdf + make -C PRESENTATION_ExSyn @@ -4861,9 +4927,9 @@ Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: db08299fbb -CPU: user 0.00s system 0.00s, MEM: 15.95 MB total, 9.57 MB resident +CPU: user 0.01s system 0.01s, MEM: 15.95 MB total, 9.59 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 25% 1x clean (0 sec), 24% 1x show (0 sec), ... +Time spent: 32% 1x clean (0 sec), 26% 1x show (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ @@ -4944,9 +5010,9 @@ Warnings: 1 unique messages, 1 total End of script. Logfile hash: 56c089ead5 -CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.70 MB resident +CPU: user 0.02s system 0.00s, MEM: 15.95 MB total, 9.75 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 26% 1x clean (0 sec), 25% 1x show (0 sec), ... +Time spent: 31% 1x clean (0 sec), 25% 1x show (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ @@ -5023,9 +5089,9 @@ Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: fd9c05a086 -CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.78 MB resident +CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.69 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 27% 1x clean (0 sec), 23% 1x show (0 sec), ... +Time spent: 28% 1x clean (0 sec), 22% 1x show (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ @@ -5139,9 +5205,9 @@ Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: 946b2a57b6 -CPU: user 0.00s system 0.01s, MEM: 15.95 MB total, 9.73 MB resident +CPU: user 0.03s system 0.00s, MEM: 15.95 MB total, 9.66 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 28% 3x opt_expr (0 sec), 23% 3x opt_merge (0 sec), ... +Time spent: 28% 3x opt_expr (0 sec), 25% 3x opt_merge (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ @@ -5227,7 +5293,7 @@ Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 8ae470d74b -CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.67 MB resident +CPU: user 0.01s system 0.01s, MEM: 15.95 MB total, 9.76 MB resident Yosys 0.9 (git sha1 1979e0b) Time spent: 31% 2x opt_expr (0 sec), 22% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' @@ -5315,9 +5381,9 @@ Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: e467ab0617 -CPU: user 0.00s system 0.01s, MEM: 15.95 MB total, 9.77 MB resident +CPU: user 0.02s system 0.00s, MEM: 15.95 MB total, 9.69 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 26% 2x opt_expr (0 sec), 22% 2x opt_merge (0 sec), ... +Time spent: 26% 2x opt_expr (0 sec), 24% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ @@ -5474,9 +5540,9 @@ Warnings: 4 unique messages, 4 total End of script. Logfile hash: 35bed86fa8 -CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.77 MB resident +CPU: user 0.02s system 0.00s, MEM: 15.95 MB total, 9.87 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 21% 3x opt_expr (0 sec), 19% 3x opt_merge (0 sec), ... +Time spent: 23% 2x opt_clean (0 sec), 22% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ @@ -5653,9 +5719,9 @@ Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: 6e79952879 -CPU: user 0.02s system 0.01s, MEM: 15.95 MB total, 10.03 MB resident +CPU: user 0.04s system 0.00s, MEM: 15.95 MB total, 10.01 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 22% 4x opt_clean (0 sec), 19% 3x opt_expr (0 sec), ... +Time spent: 25% 4x opt_clean (0 sec), 18% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ @@ -5871,9 +5937,9 @@ Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' End of script. Logfile hash: aa9233bc91 -CPU: user 0.03s system 0.00s, MEM: 16.08 MB total, 10.00 MB resident +CPU: user 0.04s system 0.00s, MEM: 16.08 MB total, 10.00 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 26% 4x opt_clean (0 sec), 12% 3x opt_expr (0 sec), ... +Time spent: 25% 4x opt_clean (0 sec), 14% 1x clean (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ @@ -5936,9 +6002,9 @@ Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' End of script. Logfile hash: 0262846cc7 -CPU: user 0.01s system 0.00s, MEM: 16.08 MB total, 9.75 MB resident +CPU: user 0.02s system 0.00s, MEM: 16.08 MB total, 9.65 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 36% 1x techmap (0 sec), 29% 1x clean (0 sec), ... +Time spent: 34% 1x techmap (0 sec), 30% 1x clean (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ @@ -6149,9 +6215,9 @@ Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 2b2a6f2d5c -CPU: user 0.02s system 0.01s, MEM: 16.59 MB total, 10.62 MB resident +CPU: user 0.06s system 0.01s, MEM: 16.59 MB total, 10.50 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 47% 5x read_verilog (0 sec), 10% 1x abc (0 sec), ... +Time spent: 53% 5x read_verilog (0 sec), 7% 1x proc_dff (0 sec), ... make[3]: Leaving directory '/build/yosys-0.9/manual/PRESENTATION_ExSyn' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20191018212734Z)#' PRESENTATION_ExSyn/abc_01.pdf PRESENTATION_ExSyn/memory_01.pdf PRESENTATION_ExSyn/memory_02.pdf PRESENTATION_ExSyn/opt_01.pdf PRESENTATION_ExSyn/opt_02.pdf PRESENTATION_ExSyn/opt_03.pdf PRESENTATION_ExSyn/opt_04.pdf PRESENTATION_ExSyn/proc_01.pdf PRESENTATION_ExSyn/proc_02.pdf PRESENTATION_ExSyn/proc_03.pdf PRESENTATION_ExSyn/techmap_01.pdf + make -C PRESENTATION_ExAdv @@ -6298,9 +6364,9 @@ Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: ac335b9416 -CPU: user 0.02s system 0.00s, MEM: 16.04 MB total, 9.88 MB resident +CPU: user 0.04s system 0.01s, MEM: 16.04 MB total, 9.93 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 21% 3x opt_expr (0 sec), 21% 2x opt_clean (0 sec), ... +Time spent: 23% 2x opt_clean (0 sec), 23% 3x opt_expr (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ @@ -6372,9 +6438,9 @@ Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: f7f7720e72 -CPU: user 0.01s system 0.01s, MEM: 16.08 MB total, 9.89 MB resident +CPU: user 0.01s system 0.01s, MEM: 16.08 MB total, 9.79 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 41% 1x techmap (0 sec), 21% 4x read_verilog (0 sec), ... +Time spent: 37% 1x techmap (0 sec), 22% 1x show (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ @@ -6442,9 +6508,9 @@ Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: 976edf2e64 -CPU: user 0.01s system 0.00s, MEM: 16.02 MB total, 9.71 MB resident +CPU: user 0.02s system 0.00s, MEM: 16.02 MB total, 9.70 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 26% 1x clean (0 sec), 26% 4x read_verilog (0 sec), ... +Time spent: 28% 4x read_verilog (0 sec), 26% 1x clean (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ @@ -6549,9 +6615,9 @@ Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' End of script. Logfile hash: 88e74f01d1 -CPU: user 0.02s system 0.00s, MEM: 16.09 MB total, 10.01 MB resident +CPU: user 0.04s system 0.00s, MEM: 16.09 MB total, 10.11 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 33% 2x clean (0 sec), 20% 1x techmap (0 sec), ... +Time spent: 37% 2x clean (0 sec), 21% 1x techmap (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ @@ -6625,9 +6691,9 @@ Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: 612c492bc0 -CPU: user 0.02s system 0.01s, MEM: 16.15 MB total, 10.01 MB resident +CPU: user 0.05s system 0.00s, MEM: 16.15 MB total, 10.01 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 44% 3x clean (0 sec), 27% 1x techmap (0 sec), ... +Time spent: 40% 3x clean (0 sec), 30% 1x techmap (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ @@ -6688,9 +6754,9 @@ Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: a0fd954202 -CPU: user 0.01s system 0.00s, MEM: 15.95 MB total, 9.73 MB resident +CPU: user 0.00s system 0.01s, MEM: 15.95 MB total, 9.53 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 29% 1x techmap (0 sec), 27% 1x clean (0 sec), ... +Time spent: 28% 1x clean (0 sec), 27% 1x techmap (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ @@ -7012,9 +7078,9 @@ Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: a22913d34f -CPU: user 0.04s system 0.00s, MEM: 16.04 MB total, 9.67 MB resident +CPU: user 0.11s system 0.00s, MEM: 16.04 MB total, 9.92 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 30% 7x clean (0 sec), 19% 3x extract (0 sec), ... +Time spent: 31% 7x clean (0 sec), 18% 3x extract (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ @@ -7249,9 +7315,9 @@ Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' End of script. Logfile hash: f74c6fae71 -CPU: user 0.13s system 0.01s, MEM: 16.51 MB total, 10.23 MB resident +CPU: user 0.24s system 0.00s, MEM: 16.51 MB total, 10.30 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 48% 12x clean (0 sec), 19% 5x techmap (0 sec), ... +Time spent: 46% 12x clean (0 sec), 19% 5x techmap (0 sec), ... make[3]: Leaving directory '/build/yosys-0.9/manual/PRESENTATION_ExAdv' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20191018212734Z)#' PRESENTATION_ExAdv/addshift.pdf PRESENTATION_ExAdv/macc_simple_test_00a.pdf PRESENTATION_ExAdv/macc_simple_test_00b.pdf PRESENTATION_ExAdv/macc_simple_test_01a.pdf PRESENTATION_ExAdv/macc_simple_test_01b.pdf PRESENTATION_ExAdv/macc_simple_test_02a.pdf PRESENTATION_ExAdv/macc_simple_test_02b.pdf PRESENTATION_ExAdv/macc_simple_xmap.pdf PRESENTATION_ExAdv/macc_xilinx_test1a.pdf PRESENTATION_ExAdv/macc_xilinx_test1b.pdf PRESENTATION_ExAdv/macc_xilinx_test1c.pdf PRESENTATION_ExAdv/macc_xilinx_test1d.pdf PRESENTATION_ExAdv/macc_xilinx_test1e.pdf PRESENTATION_ExAdv/macc_xilinx_test2a.pdf PRESENTATION_ExAdv/macc_xilinx_test2b.pdf PRESENTATION_ExAdv/macc_xilinx_test2c.pdf PRESENTATION_ExAdv/macc_xilinx_test2d.pdf PRESENTATION_ExAdv/macc_xilinx_test2e.pdf PRESENTATION_ExAdv/macc_xilinx_xmap.pdf PRESENTATION_ExAdv/mulshift.pdf PRESENTATION_ExAdv/mymul.pdf PRESENTATION_ExAdv/red_or3x1.pdf PRESENTATION_ExAdv/select.pdf PRESENTATION_ExAdv/sym_mul.pdf + make -C PRESENTATION_ExOth @@ -7391,9 +7457,9 @@ \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: f37c86c648 -CPU: user 0.03s system 0.00s, MEM: 16.60 MB total, 10.45 MB resident +CPU: user 0.07s system 0.00s, MEM: 16.60 MB total, 10.39 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 33% 1x sat (0 sec), 16% 1x submod (0 sec), ... +Time spent: 36% 1x sat (0 sec), 16% 1x submod (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ @@ -7483,9 +7549,9 @@ \__/ End of script. Logfile hash: a981646901 -CPU: user 0.02s system 0.00s, MEM: 16.72 MB total, 10.41 MB resident +CPU: user 0.05s system 0.00s, MEM: 16.72 MB total, 10.39 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 79% 1x sat (0 sec), 11% 1x techmap (0 sec), ... +Time spent: 74% 1x sat (0 sec), 14% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys @@ -8464,9 +8530,9 @@ init \uut.tvalid 1 1 1 End of script. Logfile hash: f85fee5d76 -CPU: user 1.90s system 0.06s, MEM: 69.84 MB total, 63.79 MB resident +CPU: user 2.93s system 0.13s, MEM: 69.84 MB total, 63.53 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 98% 1x sat (1 sec), 0% 1x clean (0 sec), ... +Time spent: 97% 1x sat (2 sec), 0% 1x clean (0 sec), ... mv axis_test.log_new axis_test.log make[3]: Leaving directory '/build/yosys-0.9/manual/PRESENTATION_ExOth' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20191018212734Z)#' PRESENTATION_ExOth/scrambler_p01.pdf PRESENTATION_ExOth/scrambler_p02.pdf @@ -8492,7 +8558,7 @@ absval_ref (4 wires, 2 cells) End of script. Logfile hash: be47de2266 -CPU: user 0.00s system 0.00s, MEM: 15.99 MB total, 9.98 MB resident +CPU: user 0.00s system 0.01s, MEM: 15.99 MB total, 9.88 MB resident Yosys 0.9 (git sha1 1979e0b) Time spent: 96% 1x read_verilog (0 sec), 3% 1x my_cmd (0 sec) mv test0.log_new test0.log @@ -8569,9 +8635,9 @@ end End of script. Logfile hash: 41d715e711 -CPU: user 0.01s system 0.00s, MEM: 15.99 MB total, 10.03 MB resident +CPU: user 0.01s system 0.00s, MEM: 15.99 MB total, 9.96 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 65% 1x clean (0 sec), 24% 1x read_verilog (0 sec), ... +Time spent: 68% 1x clean (0 sec), 22% 1x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'test2' sigmap_test.v @@ -8600,9 +8666,9 @@ Log message #9. End of script. Logfile hash: c613caebe8 -CPU: user 0.00s system 0.00s, MEM: 15.99 MB total, 9.87 MB resident +CPU: user 0.01s system 0.00s, MEM: 15.99 MB total, 9.71 MB resident Yosys 0.9 (git sha1 1979e0b) -Time spent: 81% 1x read_verilog (0 sec), 18% 1x test2 (0 sec) +Time spent: 83% 1x read_verilog (0 sec), 16% 1x test2 (0 sec) mv test2.log_new test2.log make[3]: Leaving directory '/build/yosys-0.9/manual/PRESENTATION_Prog' + set -ex @@ -12871,70 +12937,70 @@ make[2]: Leaving directory '/build/yosys-0.9' make[1]: Leaving directory '/build/yosys-0.9' dh_auto_test - make -j18 test + make -j10 test make[1]: Entering directory '/build/yosys-0.9' [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "" make[2]: Entering directory '/build/yosys-0.9/tests/simple' Test: always02 -> ok Test: always01 -> ok -Test: aes_kexp128 -> ok -Test: attrib01_module -> ok -Test: attrib02_port_decl -> ok -Test: attrib08_mod_inst -> ok Test: always03 -> ok -Test: carryadd -> ok Test: arrays01 -> ok +Test: attrib02_port_decl -> ok +Test: aes_kexp128 -> ok +Test: attrib01_module -> ok Test: arrays02 -> ok -Test: attrib09_case -> ok -Test: attrib04_net_var -> ok Test: arraycells -> ok -Test: attrib06_operator_suffix -> ok -Test: constpower -> ok Test: defvalue -> ok +Test: attrib04_net_var -> ok +Test: carryadd -> ok +Test: attrib08_mod_inst -> ok +Test: attrib09_case -> ok Test: attrib03_parameter -> ok -Test: hierarchy -> ok -Test: localparam_attr -> ok -Test: graphtest -> ok +Test: attrib06_operator_suffix -> ok +Test: constpower -> ok Test: forgen01 -> ok -Test: forgen02 -> ok -Test: implicit_ports -> ok Test: fiedler-cooley -> ok +Test: dff_init -> ok +Test: forgen02 -> ok +Test: hierarchy -> ok +Test: graphtest -> ok Test: forloops -> ok Test: fsm -> ok -Test: dff_init -> ok -Test: macros -> ok +Test: constmuldivmod -> ok +Test: localparam_attr -> ok +Test: dff_different_styles -> ok +Test: implicit_ports -> ok Test: i2c_master_tests -> ok -Test: param_attr -> ok Test: hierdefparam -> ok -Test: omsp_dbg_uart -> ok +Test: macros -> ok Test: loops -> ok -Test: realexpr -> ok -Test: retime -> ok -Test: constmuldivmod -> ok +Test: param_attr -> ok +Test: omsp_dbg_uart -> ok Test: mem_arst -> ok Test: muxtree -> ok -Test: dff_different_styles -> ok -Test: specify -> ok +Test: realexpr -> ok Test: peepopt -> ok -Test: undef_eqx_nex -> ok +Test: multiplier -> ok +Test: paramods -> ok +Test: retime -> ok +Test: mem2reg -> ok +Test: process -> ok Test: signedexpr -> ok +Test: specify -> ok Test: scopes -> ok -Test: paramods -> ok +Test: undef_eqx_nex -> ok +Test: repwhile -> ok Test: usb_phy_tests -> ok -Test: multiplier -> ok -Test: process -> ok Test: subbytes -> ok -Test: mem2reg -> ok +Test: partsel -> ok +Test: generate -> ok Test: values -> ok -Test: repwhile -> ok +Test: task_func -> ok Test: wandwor -> ok +Test: sincos -> ok Test: vloghammer -> ok -Test: task_func -> ok Test: wreduce -> ok -Test: partsel -> ok -Test: sincos -> ok -Test: generate -> ok Test: operators -> ok Test: rotate -> ok Test: memory -> ok @@ -12942,103 +13008,103 @@ cd tests/hana && bash run-test.sh "" make[2]: Entering directory '/build/yosys-0.9/tests/hana' Test: test_simulation_buffer -> ok -Test: test_simulation_vlib -> ok -Test: test_simulation_seq -> ok -Test: test_simulation_nor -> ok Test: test_parse2synthtrans -> ok Test: test_simulation_nand -> ok -Test: test_simulation_or -> ok -Test: test_simulation_xnor -> ok +Test: test_simulation_nor -> ok Test: test_simulation_and -> ok -Test: test_simulation_xor -> ok Test: test_parser -> ok -Test: test_simulation_sop -> ok Test: test_simulation_inc -> ok +Test: test_simulation_seq -> ok +Test: test_simulation_or -> ok +Test: test_simulation_vlib -> ok Test: test_simulation_always -> ok -Test: test_simulation_techmap -> ok Test: test_simulation_mux -> ok +Test: test_simulation_sop -> ok +Test: test_simulation_xnor -> ok +Test: test_simulation_xor -> ok +Test: test_simulation_techmap -> ok Test: test_simulation_decoder -> ok Test: test_simulation_techmap_tech -> ok -Test: test_simulation_shifter -> ok Test: test_intermout -> ok +Test: test_simulation_shifter -> ok make[2]: Leaving directory '/build/yosys-0.9/tests/hana' cd tests/asicworld && bash run-test.sh "" make[2]: Entering directory '/build/yosys-0.9/tests/asicworld' -Test: code_hdl_models_dff_async_reset -> ok +Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_d_latch_gates -> ok -Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_d_ff_gates -> ok -Test: code_hdl_models_clk_div -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok -Test: code_hdl_models_dff_sync_reset -> ok -Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_decoder_using_assign -> ok -Test: code_hdl_models_gray_counter -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: code_hdl_models_half_adder_gates -> ok -Test: code_hdl_models_encoder_using_case -> ok +Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok +Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_clk_div_45 -> ok +Test: code_hdl_models_dff_async_reset -> ok +Test: code_hdl_models_encoder_4to2_gates -> ok +Test: code_hdl_models_dff_sync_reset -> ok +Test: code_hdl_models_full_adder_gates -> ok +Test: code_hdl_models_half_adder_gates -> ok +Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_encoder_using_if -> ok -Test: code_hdl_models_mux_2to1_gates -> ok -Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_lfsr -> ok +Test: code_hdl_models_encoder_using_case -> ok +Test: code_hdl_models_gray_counter -> ok +Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok -Test: code_hdl_models_parity_using_assign -> ok +Test: code_hdl_models_lfsr_updown -> ok +Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok +Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parity_using_bitwise -> ok -Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_parity_using_function -> ok +Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_tff_async_reset -> ok -Test: code_tidbits_asyn_reset -> ok Test: code_hdl_models_parallel_crc -> ok -Test: code_tidbits_blocking -> ok -Test: code_hdl_models_tff_sync_reset -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok -Test: code_tidbits_nonblocking -> ok -Test: code_hdl_models_up_counter -> ok -Test: code_hdl_models_up_down_counter -> ok Test: code_hdl_models_serial_crc -> ok +Test: code_hdl_models_tff_sync_reset -> ok +Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok +Test: code_tidbits_asyn_reset -> ok +Test: code_tidbits_blocking -> ok +Test: code_hdl_models_up_down_counter -> ok +Test: code_tidbits_fsm_using_always -> ok +Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_fsm_using_function -> ok -Test: code_tidbits_fsm_using_always -> ok -Test: code_verilog_tutorial_always_example -> ok -Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_reg_combo_example -> ok +Test: code_tidbits_fsm_using_single_always -> ok +Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok +Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok -Test: code_tidbits_syn_reset -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_addbit -> ok +Test: code_hdl_models_uart -> ok +Test: code_verilog_tutorial_d_ff -> ok +Test: code_specman_switch_fabric -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_escape_id -> ok -Test: code_verilog_tutorial_d_ff -> ok +Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_if_else -> ok -Test: code_verilog_tutorial_good_code -> ok -Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_first_counter -> ok -Test: code_verilog_tutorial_parallel_if -> ok -Test: code_verilog_tutorial_n_out_primitive -> ok -Test: code_specman_switch_fabric -> ok -Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok +Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_simple_function -> ok -Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_explicit -> ok +Test: code_verilog_tutorial_multiply -> ok +Test: code_verilog_tutorial_n_out_primitive -> ok +Test: code_verilog_tutorial_fsm_full -> ok +Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_mux_21 -> ok +Test: code_verilog_tutorial_simple_function -> ok +Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok -Test: code_verilog_tutorial_fsm_full -> ok -Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok +Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_tri_buf -> ok -Test: code_hdl_models_uart -> ok Test: code_hdl_models_cam -> ok make[2]: Leaving directory '/build/yosys-0.9/tests/asicworld' #+cd tests/realmath && bash run-test.sh "" @@ -13050,10 +13116,10 @@ generating tests.. running tests.. make[2]: Entering directory '/build/yosys-0.9/tests/fsm' -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +[0][1][2][3][4][5][6][7][8][9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]T[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]T[89]K[90]K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]KKKKKKKKKKKKKKKKKT +K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]T[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]KKKKKKKKTK make[2]: Leaving directory '/build/yosys-0.9/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. @@ -13077,29 +13143,29 @@ Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "" generating tests.. -PRNG seed: 337670 +PRNG seed: 408445 running tests.. make[2]: Entering directory '/build/yosys-0.9/tests/bram' Passed memory_bram test 00_03. -Passed memory_bram test 03_00. +Passed memory_bram test 00_02. Passed memory_bram test 00_01. -Passed memory_bram test 03_01. -Passed memory_bram test 01_02. -Passed memory_bram test 04_01. -Passed memory_bram test 03_02. -Passed memory_bram test 02_00. Passed memory_bram test 02_01. -Passed memory_bram test 04_00. -Passed memory_bram test 00_02. -Passed memory_bram test 03_04. -Passed memory_bram test 04_03. -Passed memory_bram test 01_00. Passed memory_bram test 00_04. -Passed memory_bram test 04_02. +Passed memory_bram test 02_00. +Passed memory_bram test 01_02. Passed memory_bram test 01_03. +Passed memory_bram test 01_00. Passed memory_bram test 01_04. Passed memory_bram test 02_03. Passed memory_bram test 02_04. +Passed memory_bram test 04_01. +Passed memory_bram test 04_00. +Passed memory_bram test 04_02. +Passed memory_bram test 03_00. +Passed memory_bram test 03_02. +Passed memory_bram test 04_03. +Passed memory_bram test 03_04. +Passed memory_bram test 03_01. make[2]: Leaving directory '/build/yosys-0.9/tests/bram' cd tests/various && bash run-test.sh Running attrib05_port_conn.ys.. @@ -13333,12 +13399,12 @@ Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -> ok +Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok -Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok +Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok +Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok Test ../../techlibs/intel/a10gx/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok -Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok @@ -13355,7 +13421,7 @@ debian/rules override_dh_auto_install make[1]: Entering directory '/build/yosys-0.9' dh_auto_install - make -j18 install DESTDIR=/build/yosys-0.9/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + make -j10 install DESTDIR=/build/yosys-0.9/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/yosys-0.9' [Makefile.conf] CONFIG := gcc mkdir -p /build/yosys-0.9/debian/tmp/usr/bin @@ -13396,22 +13462,24 @@ dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined dh_md5sums dh_builddeb -dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.9-1_i386.deb'. -dpkg-deb: building package 'yosys' in '../yosys_0.9-1_i386.deb'. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.9-1_i386.deb'. dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.9-1_all.deb'. +dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.9-1_i386.deb'. +dpkg-deb: building package 'yosys' in '../yosys_0.9-1_i386.deb'. dpkg-genbuildinfo --build=binary dpkg-genchanges --build=binary >../yosys_0.9-1_i386.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) I: copying local configuration +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/8254/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/3326 and its subdirectories -I: Current time: Fri Jul 29 21:28:52 -12 2022 -I: pbuilder-time-stamp: 1659173332 +I: removing directory /srv/workspace/pbuilder/8254 and its subdirectories +I: Current time: Sun Jun 27 17:42:58 +14 2021 +I: pbuilder-time-stamp: 1624765378