Diff of the two buildlogs: -- --- b1/build.log 2023-06-02 07:40:54.021711121 +0000 +++ b2/build.log 2023-06-02 09:22:53.537747240 +0000 @@ -1,49 +1,8 @@ I: pbuilder: network access will be disabled during build -I: Current time: Thu Jul 4 01:31:56 -12 2024 -I: pbuilder-time-stamp: 1720099916 +I: Current time: Fri Jun 2 21:41:02 +14 2023 +I: pbuilder-time-stamp: 1685691662 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] -tar: ./usr/share/doc/adduser/examples/adduser.local.conf.examples/skel: time stamp 2025-08-04 08:27:04 is 34239303.365920732 s in the future -tar: ./usr/share/doc/adduser/examples/adduser.local.conf.examples/skel.other: time stamp 2025-08-04 08:27:04 is 34239303.365762061 s in the future -tar: ./usr/share/doc/adduser/examples/adduser.local.conf.examples: time stamp 2025-08-04 08:27:04 is 34239303.365737383 s in the future -tar: ./usr/share/doc/adduser/examples: time stamp 2025-08-04 08:27:04 is 34239303.36571495 s in the future -tar: ./usr/share/doc/adduser: time stamp 2025-08-04 08:27:04 is 34239303.365693503 s in the future -tar: ./usr/share/locale/ca/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.234027802 s in the future -tar: ./usr/share/locale/cs/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.219963054 s in the future -tar: ./usr/share/locale/da/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.20600942 s in the future -tar: ./usr/share/locale/de/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.191144043 s in the future -tar: ./usr/share/locale/es/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.169492993 s in the future -tar: ./usr/share/locale/eu/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.166001004 s in the future -tar: ./usr/share/locale/fr/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.136483278 s in the future -tar: ./usr/share/locale/gl/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.131112981 s in the future -tar: ./usr/share/locale/hu/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.120715148 s in the future -tar: ./usr/share/locale/it/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.108409518 s in the future -tar: ./usr/share/locale/ja/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.093400626 s in the future -tar: ./usr/share/locale/ko/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.083918854 s in the future -tar: ./usr/share/locale/nb/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.074446156 s in the future -tar: ./usr/share/locale/nl/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.060170459 s in the future -tar: ./usr/share/locale/pl/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.047349636 s in the future -tar: ./usr/share/locale/pt/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.029693354 s in the future -tar: ./usr/share/locale/pt_BR/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239303.011519715 s in the future -tar: ./usr/share/locale/ru/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.967091958 s in the future -tar: ./usr/share/locale/sk/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.957986876 s in the future -tar: ./usr/share/locale/sv/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.927284854 s in the future -tar: ./usr/share/locale/uk/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.882459966 s in the future -tar: ./usr/share/locale/vi/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.854315691 s in the future -tar: ./usr/share/locale/zh_CN/LC_MESSAGES: time stamp 2025-08-04 08:27:04 is 34239302.832976469 s in the future -tar: ./usr/share/man/de/man5: time stamp 2025-08-04 08:27:04 is 34239302.571572763 s in the future -tar: ./usr/share/man/fr/man5: time stamp 2025-08-04 08:27:04 is 34239302.565349839 s in the future -tar: ./usr/share/man/man5: time stamp 2025-08-04 08:27:04 is 34239302.558094525 s in the future -tar: ./usr/share/man/nl/man5: time stamp 2025-08-04 08:27:04 is 34239302.550074991 s in the future -tar: ./usr/share/man/nl/man8: time stamp 2025-08-04 08:27:04 is 34239302.54930039 s in the future -tar: ./usr/share/man/pt/man5: time stamp 2025-08-04 08:27:04 is 34239302.545576077 s in the future -tar: ./usr/share/man/pt/man8: time stamp 2025-08-04 08:27:04 is 34239302.544792119 s in the future -tar: ./usr/share/perl5/Debian: time stamp 2025-08-04 08:27:04 is 34239302.50045549 s in the future -tar: ./var/lib/dpkg/info/adduser.list: time stamp 2025-08-04 08:27:04 is 34239300.340938007 s in the future -tar: ./usr/sbin: time stamp 2025-08-04 08:27:04 is 34239300.061484134 s in the future -tar: ./usr/share/man/man8: time stamp 2025-08-04 08:27:04 is 34239300.060108528 s in the future -tar: ./usr/share/man/fr/man8: time stamp 2025-08-04 08:27:04 is 34239300.060046876 s in the future -tar: ./usr/share/man/de/man8: time stamp 2025-08-04 08:27:04 is 34239300.059962929 s in the future I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem @@ -57,7 +16,7 @@ I: copying [./yosys_0.23.orig.tar.gz] I: copying [./yosys_0.23-6.debian.tar.xz] I: Extracting source -gpgv: Signature made Sat Dec 3 10:16:42 2022 -12 +gpgv: Signature made Sun Dec 4 12:16:42 2022 +14 gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./yosys_0.23-6.dsc: no acceptable signature found @@ -80,135 +39,167 @@ dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/1398977/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/D01_modify_environment starting +debug: Running on ionos1-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Jun 2 21:41 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16 ' - DISTRIBUTION='bookworm' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="15" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.2.15(1)-release' + BUILDDIR=/build + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=15 ' + DIRSTACK=() + DISTRIBUTION=bookworm + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='c87bb252eea44746931c749380b26da5' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='1398977' - PS1='# ' - PS2='> ' + INVOCATION_ID=02f8f3e4936642f39dac1e70839e7209 + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=3319016 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.Bh2zd5r1/pbuilderrc_WYyK --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.Bh2zd5r1/b1 --logfile b1/build.log yosys_0.23-6.dsc' - SUDO_GID='111' - SUDO_UID='106' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://85.184.249.68:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.Bh2zd5r1/pbuilderrc_Jycy --distribution bookworm --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.Bh2zd5r1/b2 --logfile b2/build.log --extrapackages usrmerge yosys_0.23-6.dsc' + SUDO_GID=110 + SUDO_UID=105 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://78.137.99.97:3128 I: uname -a - Linux ionos15-amd64 6.1.0-0.deb11.7-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.20-2~bpo11+1 (2023-04-23) x86_64 GNU/Linux + Linux i-capture-the-hostname 5.10.0-23-amd64 #1 SMP Debian 5.10.179-1 (2023-05-12) x86_64 GNU/Linux I: ls -l /bin total 5632 - -rwxr-xr-x 1 root root 1265648 Apr 23 2023 bash - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bunzip2 - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bzcat - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff - -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep - -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep - -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bzip2 - -rwxr-xr-x 1 root root 14568 Sep 18 2022 bzip2recover - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore - -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore - -rwxr-xr-x 1 root root 44016 Sep 20 2022 cat - -rwxr-xr-x 1 root root 68656 Sep 20 2022 chgrp - -rwxr-xr-x 1 root root 64496 Sep 20 2022 chmod - -rwxr-xr-x 1 root root 72752 Sep 20 2022 chown - -rwxr-xr-x 1 root root 151152 Sep 20 2022 cp - -rwxr-xr-x 1 root root 125640 Jan 5 2023 dash - -rwxr-xr-x 1 root root 121904 Sep 20 2022 date - -rwxr-xr-x 1 root root 89240 Sep 20 2022 dd - -rwxr-xr-x 1 root root 102200 Sep 20 2022 df - -rwxr-xr-x 1 root root 151344 Sep 20 2022 dir - -rwxr-xr-x 1 root root 88656 Mar 22 2023 dmesg - lrwxrwxrwx 1 root root 8 Dec 19 2022 dnsdomainname -> hostname - lrwxrwxrwx 1 root root 8 Dec 19 2022 domainname -> hostname - -rwxr-xr-x 1 root root 43856 Sep 20 2022 echo - -rwxr-xr-x 1 root root 41 Jan 24 2023 egrep - -rwxr-xr-x 1 root root 35664 Sep 20 2022 false - -rwxr-xr-x 1 root root 41 Jan 24 2023 fgrep - -rwxr-xr-x 1 root root 85600 Mar 22 2023 findmnt - -rwsr-xr-x 1 root root 35128 Mar 22 2023 fusermount - -rwxr-xr-x 1 root root 203152 Jan 24 2023 grep - -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip - -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe - -rwxr-xr-x 1 root root 98136 Apr 9 2022 gzip - -rwxr-xr-x 1 root root 22680 Dec 19 2022 hostname - -rwxr-xr-x 1 root root 72824 Sep 20 2022 ln - -rwxr-xr-x 1 root root 53024 Mar 23 2023 login - -rwxr-xr-x 1 root root 151344 Sep 20 2022 ls - -rwxr-xr-x 1 root root 207168 Mar 22 2023 lsblk - -rwxr-xr-x 1 root root 97552 Sep 20 2022 mkdir - -rwxr-xr-x 1 root root 72912 Sep 20 2022 mknod - -rwxr-xr-x 1 root root 43952 Sep 20 2022 mktemp - -rwxr-xr-x 1 root root 59712 Mar 22 2023 more - -rwsr-xr-x 1 root root 59704 Mar 22 2023 mount - -rwxr-xr-x 1 root root 18744 Mar 22 2023 mountpoint - -rwxr-xr-x 1 root root 142968 Sep 20 2022 mv - lrwxrwxrwx 1 root root 8 Dec 19 2022 nisdomainname -> hostname - lrwxrwxrwx 1 root root 14 Apr 2 2023 pidof -> /sbin/killall5 - -rwxr-xr-x 1 root root 43952 Sep 20 2022 pwd - lrwxrwxrwx 1 root root 4 Apr 23 2023 rbash -> bash - -rwxr-xr-x 1 root root 52112 Sep 20 2022 readlink - -rwxr-xr-x 1 root root 72752 Sep 20 2022 rm - -rwxr-xr-x 1 root root 56240 Sep 20 2022 rmdir - -rwxr-xr-x 1 root root 27560 Nov 2 2022 run-parts - -rwxr-xr-x 1 root root 126424 Jan 5 2023 sed - lrwxrwxrwx 1 root root 4 Jan 5 2023 sh -> dash - -rwxr-xr-x 1 root root 43888 Sep 20 2022 sleep - -rwxr-xr-x 1 root root 85008 Sep 20 2022 stty - -rwsr-xr-x 1 root root 72000 Mar 22 2023 su - -rwxr-xr-x 1 root root 39824 Sep 20 2022 sync - -rwxr-xr-x 1 root root 531984 Apr 6 2023 tar - -rwxr-xr-x 1 root root 14520 Nov 2 2022 tempfile - -rwxr-xr-x 1 root root 109616 Sep 20 2022 touch - -rwxr-xr-x 1 root root 35664 Sep 20 2022 true - -rwxr-xr-x 1 root root 14568 Mar 22 2023 ulockmgr_server - -rwsr-xr-x 1 root root 35128 Mar 22 2023 umount - -rwxr-xr-x 1 root root 43888 Sep 20 2022 uname - -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress - -rwxr-xr-x 1 root root 151344 Sep 20 2022 vdir - -rwxr-xr-x 1 root root 72024 Mar 22 2023 wdctl - lrwxrwxrwx 1 root root 8 Dec 19 2022 ypdomainname -> hostname - -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat - -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp - -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff - -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep - -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep - -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce - -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep - -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless - -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore - -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew -I: user script /srv/workspace/pbuilder/1398977/tmp/hooks/D02_print_environment finished + -rwxr-xr-x 1 root root 1265648 Apr 24 11:23 bash + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bunzip2 + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzcat + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzcmp -> bzdiff + -rwxr-xr-x 1 root root 2225 Sep 19 2022 bzdiff + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzegrep -> bzgrep + -rwxr-xr-x 1 root root 4893 Nov 28 2021 bzexe + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzfgrep -> bzgrep + -rwxr-xr-x 1 root root 3775 Sep 19 2022 bzgrep + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzip2 + -rwxr-xr-x 1 root root 14568 Sep 19 2022 bzip2recover + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzless -> bzmore + -rwxr-xr-x 1 root root 1297 Sep 19 2022 bzmore + -rwxr-xr-x 1 root root 44016 Sep 21 2022 cat + -rwxr-xr-x 1 root root 68656 Sep 21 2022 chgrp + -rwxr-xr-x 1 root root 64496 Sep 21 2022 chmod + -rwxr-xr-x 1 root root 72752 Sep 21 2022 chown + -rwxr-xr-x 1 root root 151152 Sep 21 2022 cp + -rwxr-xr-x 1 root root 125640 Jan 6 03:20 dash + -rwxr-xr-x 1 root root 121904 Sep 21 2022 date + -rwxr-xr-x 1 root root 89240 Sep 21 2022 dd + -rwxr-xr-x 1 root root 102200 Sep 21 2022 df + -rwxr-xr-x 1 root root 151344 Sep 21 2022 dir + -rwxr-xr-x 1 root root 88656 Mar 24 00:02 dmesg + lrwxrwxrwx 1 root root 8 Dec 20 03:33 dnsdomainname -> hostname + lrwxrwxrwx 1 root root 8 Dec 20 03:33 domainname -> hostname + -rwxr-xr-x 1 root root 43856 Sep 21 2022 echo + -rwxr-xr-x 1 root root 41 Jan 25 04:43 egrep + -rwxr-xr-x 1 root root 35664 Sep 21 2022 false + -rwxr-xr-x 1 root root 41 Jan 25 04:43 fgrep + -rwxr-xr-x 1 root root 85600 Mar 24 00:02 findmnt + -rwsr-xr-x 1 root root 35128 Mar 23 22:35 fusermount + -rwxr-xr-x 1 root root 203152 Jan 25 04:43 grep + -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip + -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe + -rwxr-xr-x 1 root root 98136 Apr 10 2022 gzip + -rwxr-xr-x 1 root root 22680 Dec 20 03:33 hostname + -rwxr-xr-x 1 root root 72824 Sep 21 2022 ln + -rwxr-xr-x 1 root root 53024 Mar 24 02:40 login + -rwxr-xr-x 1 root root 151344 Sep 21 2022 ls + -rwxr-xr-x 1 root root 207168 Mar 24 00:02 lsblk + -rwxr-xr-x 1 root root 97552 Sep 21 2022 mkdir + -rwxr-xr-x 1 root root 72912 Sep 21 2022 mknod + -rwxr-xr-x 1 root root 43952 Sep 21 2022 mktemp + -rwxr-xr-x 1 root root 59712 Mar 24 00:02 more + -rwsr-xr-x 1 root root 59704 Mar 24 00:02 mount + -rwxr-xr-x 1 root root 18744 Mar 24 00:02 mountpoint + -rwxr-xr-x 1 root root 142968 Sep 21 2022 mv + lrwxrwxrwx 1 root root 8 Dec 20 03:33 nisdomainname -> hostname + lrwxrwxrwx 1 root root 14 Apr 3 20:25 pidof -> /sbin/killall5 + -rwxr-xr-x 1 root root 43952 Sep 21 2022 pwd + lrwxrwxrwx 1 root root 4 Apr 24 11:23 rbash -> bash + -rwxr-xr-x 1 root root 52112 Sep 21 2022 readlink + -rwxr-xr-x 1 root root 72752 Sep 21 2022 rm + -rwxr-xr-x 1 root root 56240 Sep 21 2022 rmdir + -rwxr-xr-x 1 root root 27560 Nov 3 2022 run-parts + -rwxr-xr-x 1 root root 126424 Jan 6 09:55 sed + lrwxrwxrwx 1 root root 9 Jun 2 21:41 sh -> /bin/bash + -rwxr-xr-x 1 root root 43888 Sep 21 2022 sleep + -rwxr-xr-x 1 root root 85008 Sep 21 2022 stty + -rwsr-xr-x 1 root root 72000 Mar 24 00:02 su + -rwxr-xr-x 1 root root 39824 Sep 21 2022 sync + -rwxr-xr-x 1 root root 531984 Apr 7 04:25 tar + -rwxr-xr-x 1 root root 14520 Nov 3 2022 tempfile + -rwxr-xr-x 1 root root 109616 Sep 21 2022 touch + -rwxr-xr-x 1 root root 35664 Sep 21 2022 true + -rwxr-xr-x 1 root root 14568 Mar 23 22:35 ulockmgr_server + -rwsr-xr-x 1 root root 35128 Mar 24 00:02 umount + -rwxr-xr-x 1 root root 43888 Sep 21 2022 uname + -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress + -rwxr-xr-x 1 root root 151344 Sep 21 2022 vdir + -rwxr-xr-x 1 root root 72024 Mar 24 00:02 wdctl + lrwxrwxrwx 1 root root 8 Dec 20 03:33 ypdomainname -> hostname + -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat + -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp + -rwxr-xr-x 1 root root 6460 Apr 10 2022 zdiff + -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep + -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep + -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce + -rwxr-xr-x 1 root root 8103 Apr 10 2022 zgrep + -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless + -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore + -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -463,7 +454,7 @@ Get: 169 http://deb.debian.org/debian bookworm/main amd64 texlive-publishers all 2022.20230122-4 [21.1 MB] Get: 170 http://deb.debian.org/debian bookworm/main amd64 texlive-science all 2022.20230122-4 [3722 kB] Get: 171 http://deb.debian.org/debian bookworm/main amd64 txt2man all 1.7.1-4 [35.4 kB] -Fetched 885 MB in 9s (96.3 MB/s) +Fetched 885 MB in 1min 19s (11.3 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19591 files and directories currently installed.) @@ -1185,8 +1176,19 @@ Writing extended state information... Building tag database... -> Finished parsing the build-deps +Reading package lists... +Building dependency tree... +Reading state information... +usrmerge is already the newest version (35). +0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package -I: Running cd /build/yosys-0.23/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.23-6_source.changes +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/A99_set_merged_usr starting +Re-configuring usrmerge... +removed '/etc/unsupported-skip-usrmerge-conversion' +The system has been successfully converted. +I: user script /srv/workspace/pbuilder/3319016/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/yosys-0.23/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.23-6_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.23-6 dpkg-buildpackage: info: source distribution unstable @@ -1198,7 +1200,7 @@ debian/rules override_dh_auto_clean make[1]: Entering directory '/build/yosys-0.23' dh_auto_clean - make -j16 clean + make -j15 clean make[2]: Entering directory '/build/yosys-0.23' rm -rf share rm -rf kernel/*.pyh @@ -1260,46 +1262,46 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/yosys-0.23' dh_auto_build -- all - make -j16 "INSTALL=install --strip-program=true" all + make -j15 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc -mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.23 (git sha1 7ce5011c24b)\"; }" > kernel/version_7ce5011c24b.cc -gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc +mkdir -p kernel/ mkdir -p techlibs/common +gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ -gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ +gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ -gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ +gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ -gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ +gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ @@ -1341,6 +1343,12 @@ | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc +mkdir -p libs/minisat/ +gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc +mkdir -p libs/fst/ +gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc +mkdir -p libs/fst/ +gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': @@ -1354,15 +1362,9 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ -mkdir -p libs/minisat/ -gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ -gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc -mkdir -p libs/fst/ -gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc -mkdir -p libs/fst/ -gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ +gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc @@ -1434,16 +1436,6 @@ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc -mkdir -p passes/cmds/ -gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc -mkdir -p passes/cmds/ -gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc -mkdir -p passes/cmds/ -gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc -mkdir -p passes/cmds/ -gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc -mkdir -p passes/cmds/ -gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1237:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1237 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); @@ -1464,6 +1456,16 @@ 1200 | int undef_srst; | ^~~~~~~~~~ mkdir -p passes/cmds/ +gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc +mkdir -p passes/cmds/ +gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc +mkdir -p passes/cmds/ +gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc +mkdir -p passes/cmds/ +gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc +mkdir -p passes/cmds/ +gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc +mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc @@ -1772,6 +1774,8 @@ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc +mkdir -p backends/firrtl/ +gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/techmap/abc.cc:1953:50: warning: 'g_argidx' may be used uninitialized [-Wmaybe-uninitialized] 1953 | cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str())); @@ -1779,8 +1783,6 @@ passes/techmap/abc.cc:1671:32: note: 'g_argidx' was declared here 1671 | size_t argidx, g_argidx; | ^~~~~~~~ -mkdir -p backends/firrtl/ -gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ @@ -1888,8 +1890,8 @@ mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ -cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ +cp "./"/kernel/celledges.h share/include/kernel/celledges.h cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc @@ -1910,12 +1912,12 @@ mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ -cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ +cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/libs/ezsat/ -mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h +mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h @@ -1924,8 +1926,8 @@ mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ -mkdir -p share/include/frontends/ast/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h +mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h @@ -1942,16 +1944,16 @@ mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ -cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ +cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ -mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v +mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v @@ -1968,12 +1970,12 @@ mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic -cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share +cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share -cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share +cp "./"/techlibs/common/simcells.v share/simcells.v cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v @@ -2008,8 +2010,8 @@ mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 -mkdir -p share/ecp5 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib +mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh @@ -2046,20 +2048,20 @@ mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/gatemate -mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v +mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate -cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate +cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate -cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate +cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt @@ -2076,13 +2078,13 @@ mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin -touch techlibs/gatemate/lut_tree_lib.mk cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin +touch techlibs/gatemate/lut_tree_lib.mk cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt @@ -2105,8 +2107,8 @@ mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 -mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v +mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v @@ -2119,44 +2121,44 @@ mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 -mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v +mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common -cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common +cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common -cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common +cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv -cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive +cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 -mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v +mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv -mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v +mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common -mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v +mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v @@ -2171,14 +2173,14 @@ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common -mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v +mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common -mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt +mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt @@ -2187,8 +2189,8 @@ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common -mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v +mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/cells_map.v share/machxo2/cells_map.v @@ -2211,8 +2213,8 @@ cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus -mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v +mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v @@ -2225,8 +2227,8 @@ mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus -mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v +mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v @@ -2235,26 +2237,26 @@ mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic -mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v mkdir -p share/quicklogic +mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic -mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v +mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic -mkdir -p share/sf2 cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v +mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 -mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v @@ -2265,89 +2267,89 @@ mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt +mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v -cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt -cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt -cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt -cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v -cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v -cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ -mkdir -p kernel/ gcc -o kernel/version_7ce5011c24b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7ce5011c24b.cc +mkdir -p kernel/ gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ -mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc +mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ -mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc +mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ -mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc +mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc -mkdir -p ./ -gcc -o yosys-filterlib -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc +mkdir -p ./ +gcc -o yosys-filterlib -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 gcc -o yosys -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_7ce5011c24b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. @@ -2358,16 +2360,16 @@ make[1]: Entering directory '/build/yosys-0.23' sed -i 's/REPLACEWITHDATE/December 03, 2022/' manual/presentation.tex PDF_DATE=D:20221203221520Z dh_auto_build -- all manual - make -j16 "INSTALL=install --strip-program=true" all manual + make -j15 "INSTALL=install --strip-program=true" all manual make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: -cd manual && PDF_DATE=D:20221203221520Z bash appnotes.sh Build successful. +cd manual && PDF_DATE=D:20221203221520Z bash appnotes.sh + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' @@ -5341,8 +5343,8 @@ ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.00 MB. Time = 0.00 sec +ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.01 sec +ABC: Memory = 0.00 MB. Time = 0.01 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x @@ -5383,9 +5385,9 @@ Dumping module counter to page 1. Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' -End of script. Logfile hash: a1e6cccca1, CPU: user 0.08s system 0.02s, MEM: 14.25 MB peak +End of script. Logfile hash: 90ad7dcf85, CPU: user 0.15s system 0.01s, MEM: 13.32 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 85% 4x show (0 sec), 7% 1x abc (0 sec), ... +Time spent: 76% 4x show (1 sec), 15% 1x abc (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_Intro' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_Intro/counter_00.pdf PRESENTATION_Intro/counter_01.pdf PRESENTATION_Intro/counter_02.pdf PRESENTATION_Intro/counter_03.pdf + make -C PRESENTATION_ExSyn @@ -5480,7 +5482,7 @@ Dumping module test to page 1. Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' -End of script. Logfile hash: c6fd6e6895, CPU: user 0.00s system 0.01s, MEM: 11.92 MB peak +End of script. Logfile hash: c6fd6e6895, CPU: user 0.00s system 0.01s, MEM: 10.98 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' @@ -5574,9 +5576,9 @@ Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' Warnings: 1 unique messages, 1 total -End of script. Logfile hash: 6c9c8edaef, CPU: user 0.00s system 0.01s, MEM: 12.12 MB peak +End of script. Logfile hash: 6c9c8edaef, CPU: user 0.01s system 0.00s, MEM: 10.94 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... +Time spent: 97% 1x show (0 sec), 0% 1x clean (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ @@ -5665,7 +5667,7 @@ Dumping module test to page 1. Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' -End of script. Logfile hash: 13c48860df, CPU: user 0.01s system 0.00s, MEM: 12.02 MB peak +End of script. Logfile hash: 13c48860df, CPU: user 0.02s system 0.00s, MEM: 11.05 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' @@ -5779,9 +5781,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' -End of script. Logfile hash: 9f52b2c276, CPU: user 0.01s system 0.01s, MEM: 12.10 MB peak +End of script. Logfile hash: 9f52b2c276, CPU: user 0.03s system 0.00s, MEM: 11.14 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... +Time spent: 94% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ @@ -5890,9 +5892,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' -End of script. Logfile hash: 5a4000bb43, CPU: user 0.02s system 0.00s, MEM: 12.07 MB peak +End of script. Logfile hash: 5a4000bb43, CPU: user 0.02s system 0.01s, MEM: 11.11 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... +Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ @@ -6001,9 +6003,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' -End of script. Logfile hash: 0cd024bc02, CPU: user 0.01s system 0.00s, MEM: 12.03 MB peak +End of script. Logfile hash: 0cd024bc02, CPU: user 0.02s system 0.00s, MEM: 11.24 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... +Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ @@ -6192,7 +6194,7 @@ Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' Warnings: 4 unique messages, 4 total -End of script. Logfile hash: 350e16de2a, CPU: user 0.01s system 0.01s, MEM: 12.07 MB peak +End of script. Logfile hash: 350e16de2a, CPU: user 0.01s system 0.01s, MEM: 11.15 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 95% 1x show (0 sec), 1% 4x opt_expr (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' @@ -6420,9 +6422,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' -End of script. Logfile hash: d4215140a5, CPU: user 0.03s system 0.00s, MEM: 12.18 MB peak +End of script. Logfile hash: d4215140a5, CPU: user 0.05s system 0.00s, MEM: 11.27 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 91% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... +Time spent: 89% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ @@ -6682,9 +6684,9 @@ Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' Warnings: 7 unique messages, 7 total -End of script. Logfile hash: 38542be5e8, CPU: user 0.03s system 0.01s, MEM: 12.27 MB peak +End of script. Logfile hash: 38542be5e8, CPU: user 0.05s system 0.01s, MEM: 11.17 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 89% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... +Time spent: 87% 1x show (0 sec), 2% 5x opt_clean (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ @@ -6754,7 +6756,7 @@ Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' Warnings: 7 unique messages, 7 total -End of script. Logfile hash: f7cde0dc8c, CPU: user 0.01s system 0.01s, MEM: 12.12 MB peak +End of script. Logfile hash: f7cde0dc8c, CPU: user 0.02s system 0.00s, MEM: 11.08 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 97% 1x show (0 sec), 1% 1x techmap (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' @@ -7004,9 +7006,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' -End of script. Logfile hash: 12acbed0ed, CPU: user 0.05s system 0.01s, MEM: 14.18 MB peak +End of script. Logfile hash: 12acbed0ed, CPU: user 0.06s system 0.01s, MEM: 13.16 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 72% 1x show (0 sec), 15% 1x abc (0 sec), ... +Time spent: 69% 1x show (0 sec), 18% 1x abc (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExSyn' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExSyn/abc_01.pdf PRESENTATION_ExSyn/memory_01.pdf PRESENTATION_ExSyn/memory_02.pdf PRESENTATION_ExSyn/opt_01.pdf PRESENTATION_ExSyn/opt_02.pdf PRESENTATION_ExSyn/opt_03.pdf PRESENTATION_ExSyn/opt_04.pdf PRESENTATION_ExSyn/proc_01.pdf PRESENTATION_ExSyn/proc_02.pdf PRESENTATION_ExSyn/proc_03.pdf PRESENTATION_ExSyn/techmap_01.pdf + make -C PRESENTATION_ExAdv @@ -7162,9 +7164,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' -End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.02s system 0.01s, MEM: 12.17 MB peak +End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.03s system 0.01s, MEM: 11.29 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 94% 1x show (0 sec), 1% 4x opt_expr (0 sec), ... +Time spent: 91% 1x show (0 sec), 2% 4x opt_expr (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ @@ -7235,7 +7237,7 @@ Dumping module test to page 1. Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' -End of script. Logfile hash: 129023a082, CPU: user 0.01s system 0.00s, MEM: 11.98 MB peak +End of script. Logfile hash: 129023a082, CPU: user 0.02s system 0.00s, MEM: 10.96 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 97% 1x show (0 sec), 1% 1x techmap (0 sec), ... ../../yosys sym_mul_test.ys @@ -7304,7 +7306,7 @@ Dumping module test to page 1. Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' -End of script. Logfile hash: 772afb568b, CPU: user 0.01s system 0.00s, MEM: 12.03 MB peak +End of script. Logfile hash: 772afb568b, CPU: user 0.01s system 0.01s, MEM: 10.93 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... ../../yosys mymul_test.ys @@ -7409,9 +7411,9 @@ Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' Warnings: 1 unique messages, 1 total -End of script. Logfile hash: 126d691da5, CPU: user 0.01s system 0.01s, MEM: 12.62 MB peak +End of script. Logfile hash: 126d691da5, CPU: user 0.03s system 0.00s, MEM: 11.64 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 94% 1x show (0 sec), 1% 2x clean (0 sec), ... +Time spent: 93% 1x show (0 sec), 1% 2x clean (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ @@ -7484,9 +7486,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' -End of script. Logfile hash: e867b57f97, CPU: user 0.02s system 0.01s, MEM: 12.50 MB peak +End of script. Logfile hash: e867b57f97, CPU: user 0.03s system 0.01s, MEM: 11.74 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 92% 1x show (0 sec), 2% 3x clean (0 sec), ... +Time spent: 91% 1x show (0 sec), 2% 3x clean (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ @@ -7546,9 +7548,9 @@ Dumping module test to page 1. Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' -End of script. Logfile hash: d1e94967df, CPU: user 0.01s system 0.00s, MEM: 11.83 MB peak +End of script. Logfile hash: d1e94967df, CPU: user 0.01s system 0.01s, MEM: 11.12 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... +Time spent: 97% 1x show (0 sec), 0% 3x read_verilog (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ @@ -7905,9 +7907,9 @@ Dumping module macc_16_16_32 to page 1. Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' -End of script. Logfile hash: 4903010725, CPU: user 0.05s system 0.00s, MEM: 12.14 MB peak +End of script. Logfile hash: 4903010725, CPU: user 0.07s system 0.00s, MEM: 11.21 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 97% 7x show (1 sec), 0% 7x clean (0 sec), ... +Time spent: 97% 7x show (2 sec), 0% 7x clean (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ @@ -8196,9 +8198,9 @@ Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' Warnings: 15 unique messages, 54 total -End of script. Logfile hash: 1adef8c574, CPU: user 0.11s system 0.01s, MEM: 13.29 MB peak +End of script. Logfile hash: 1adef8c574, CPU: user 0.19s system 0.00s, MEM: 12.30 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 96% 11x show (2 sec), 1% 12x clean (0 sec), ... +Time spent: 96% 11x show (3 sec), 1% 12x clean (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExAdv' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExAdv/addshift.pdf PRESENTATION_ExAdv/macc_simple_test_00a.pdf PRESENTATION_ExAdv/macc_simple_test_00b.pdf PRESENTATION_ExAdv/macc_simple_test_01a.pdf PRESENTATION_ExAdv/macc_simple_test_01b.pdf PRESENTATION_ExAdv/macc_simple_test_02a.pdf PRESENTATION_ExAdv/macc_simple_test_02b.pdf PRESENTATION_ExAdv/macc_simple_xmap.pdf PRESENTATION_ExAdv/macc_xilinx_test1a.pdf PRESENTATION_ExAdv/macc_xilinx_test1b.pdf PRESENTATION_ExAdv/macc_xilinx_test1c.pdf PRESENTATION_ExAdv/macc_xilinx_test1d.pdf PRESENTATION_ExAdv/macc_xilinx_test1e.pdf PRESENTATION_ExAdv/macc_xilinx_test2a.pdf PRESENTATION_ExAdv/macc_xilinx_test2b.pdf PRESENTATION_ExAdv/macc_xilinx_test2c.pdf PRESENTATION_ExAdv/macc_xilinx_test2d.pdf PRESENTATION_ExAdv/macc_xilinx_test2e.pdf PRESENTATION_ExAdv/macc_xilinx_xmap.pdf PRESENTATION_ExAdv/mulshift.pdf PRESENTATION_ExAdv/mymul.pdf PRESENTATION_ExAdv/red_or3x1.pdf PRESENTATION_ExAdv/select.pdf PRESENTATION_ExAdv/sym_mul.pdf + make -C PRESENTATION_ExOth @@ -8355,9 +8357,9 @@ \out 632435482 25b2331a 00100101101100100011001100011010 Warnings: 6 unique messages, 8 total -End of script. Logfile hash: cb8ee0bc24, CPU: user 0.03s system 0.00s, MEM: 12.54 MB peak +End of script. Logfile hash: cb8ee0bc24, CPU: user 0.04s system 0.01s, MEM: 11.74 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 96% 2x show (0 sec), 1% 1x sat (0 sec), ... +Time spent: 95% 2x show (0 sec), 1% 1x sat (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ @@ -8443,7 +8445,7 @@ \____ $$$|__/|________/|__/|_______/|__/ \__/ -End of script. Logfile hash: 40f4b7a027, CPU: user 0.02s system 0.00s, MEM: 12.88 MB peak +End of script. Logfile hash: 40f4b7a027, CPU: user 0.03s system 0.01s, MEM: 12.16 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 77% 1x sat (0 sec), 13% 1x techmap (0 sec), ... mv equiv.log_new equiv.log @@ -9423,9 +9425,9 @@ init \uut.tdata 64 40 01000000 init \uut.tvalid 1 1 1 -End of script. Logfile hash: 47aa44b032, CPU: user 1.74s system 0.13s, MEM: 129.12 MB peak +End of script. Logfile hash: 47aa44b032, CPU: user 2.83s system 0.16s, MEM: 129.25 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 98% 1x sat (1 sec), 0% 3x read_verilog (0 sec), ... +Time spent: 98% 1x sat (2 sec), 0% 3x read_verilog (0 sec), ... mv axis_test.log_new axis_test.log make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExOth' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExOth/scrambler_p01.pdf PRESENTATION_ExOth/scrambler_p02.pdf @@ -9471,9 +9473,9 @@ Modules in current design: $abstract\absval_ref (0 wires, 0 cells) -End of script. Logfile hash: a25069ff9d, CPU: user 0.00s system 0.01s, MEM: 11.93 MB peak +End of script. Logfile hash: a25069ff9d, CPU: user 0.00s system 0.00s, MEM: 11.06 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 95% 2x read_verilog (0 sec), 2% 1x my_cmd (0 sec), ... +Time spent: 94% 2x read_verilog (0 sec), 3% 1x my_cmd (0 sec), ... mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v @@ -9519,9 +9521,9 @@ module $abstract\absval_ref end -End of script. Logfile hash: 01cda1039c, CPU: user 0.00s system 0.01s, MEM: 12.11 MB peak +End of script. Logfile hash: 01cda1039c, CPU: user 0.01s system 0.00s, MEM: 11.04 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 75% 1x clean (0 sec), 19% 2x read_verilog (0 sec), ... +Time spent: 72% 1x clean (0 sec), 16% 2x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' sigmap_test.v @@ -9562,9 +9564,9 @@ Log message #8. Log message #9. -End of script. Logfile hash: 8350de3c5a, CPU: user 0.01s system 0.01s, MEM: 11.90 MB peak +End of script. Logfile hash: 8350de3c5a, CPU: user 0.00s system 0.00s, MEM: 11.16 MB peak Yosys 0.23 (git sha1 7ce5011c24b) -Time spent: 53% 2x read_verilog (0 sec), 36% 1x hierarchy (0 sec), ... +Time spent: 72% 2x read_verilog (0 sec), 20% 1x hierarchy (0 sec), ... mv test2.log_new test2.log make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_Prog' + set -ex @@ -16294,7 +16296,7 @@ debian/rules override_dh_auto_test make[1]: Entering directory '/build/yosys-0.23' dh_auto_test - make -j16 test + make -j15 test make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc @@ -16317,65 +16319,64 @@ + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c -+ gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c Test: unnamed_block_decl -> ok -Test: local_loop_var -> ok +Test: case_expr_extend -> ok Test: matching_end_labels -> ok +Test: local_loop_var -> ok Test: case_expr_query -> ok Test: memwr_port_connection -> ok -Test: case_expr_extend -> ok Test: always01 -> ok -Test: always02 -> ok -Test: implicit_ports -> ok Test: lesser_size_cast -> ok +Test: always02 -> ok Test: arrays02 -> ok +Test: implicit_ports -> ok Test: aes_kexp128 -> ok -Test: always03 -> ok Test: defvalue -> ok Test: macro_arg_spaces -> ok Test: case_expr_const -> ok -Test: arrays01 -> ok Test: attrib02_port_decl -> ok Test: attrib01_module -> ok -Test: case_expr_non_const -> ok +Test: arrays01 -> ok Test: arraycells -> ok +Test: attrib03_parameter -> ok +Test: always03 -> ok Test: attrib08_mod_inst -> ok -Test: const_branch_finish -> ok Test: attrib06_operator_suffix -> ok -Test: attrib03_parameter -> ok +Test: case_expr_non_const -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: attrib04_net_var -> ok +Test: const_branch_finish -> ok Test: constpower -> ok Test: const_fold_func -> ok Test: forgen01 -> ok -Test: fiedler-cooley -> ok Test: forgen02 -> ok -Test: func_recurse -> ok Test: const_func_shadow -> ok -Test: genblk_collide -> ok +Test: func_recurse -> ok +Test: fiedler-cooley -> ok Test: forloops -> ok +Test: genblk_collide -> ok Test: genblk_dive -> ok -Test: genblk_order -> ok Test: dff_init -> ok +Test: genblk_order -> ok Test: fsm -> ok -Test: genblk_port_shadow -> ok Test: func_block -> ok +Test: genblk_port_shadow -> ok Test: func_width_scope -> ok -Test: hierarchy -> ok +Test: graphtest -> ok Test: ifdef_1 -> ok +Test: hierarchy -> ok Test: ifdef_2 -> ok -Test: graphtest -> ok Test: localparam_attr -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: loop_var_shadow -> ok Test: loop_prefix_case -> ok +Test: loop_var_shadow -> ok +Test: macro_arg_surrounding_spaces -> ok Test: dff_different_styles -> ok -Test: asgn_binop -> ok -Test: hierdefparam -> ok Test: i2c_master_tests -> ok +Test: hierdefparam -> ok Test: macros -> ok Test: mem2reg_bounds_tern -> ok +Test: asgn_binop -> ok Test: module_scope_case -> ok Test: loops -> ok Test: module_scope -> ok @@ -16385,30 +16386,30 @@ Test: omsp_dbg_uart -> ok Test: muxtree -> ok Test: constmuldivmod -> ok -Test: dynslice -> ok -Test: retime -> ok Test: realexpr -> ok -Test: multiplier -> ok -Test: paramods -> ok -Test: repwhile -> ok +Test: retime -> ok Test: mem_arst -> ok +Test: repwhile -> ok +Test: paramods -> ok +Test: multiplier -> ok +Test: mem2reg -> ok +Test: specify -> ok Test: signedexpr -> ok Test: process -> ok -Test: specify -> ok +Test: scopes -> ok Test: string_format -> ok Test: signed_full_slice -> ok -Test: scopes -> ok +Test: dynslice -> ok Test: undef_eqx_nex -> ok -Test: mem2reg -> ok -Test: usb_phy_tests -> ok Test: verilog_primitives -> ok +Test: usb_phy_tests -> ok Test: subbytes -> ok Test: values -> ok Test: wandwor -> ok -Test: generate -> ok -Test: task_func -> ok -Test: vloghammer -> ok Test: wreduce -> ok +Test: vloghammer -> ok +Test: task_func -> ok +Test: generate -> ok Test: sincos -> ok Test: operators -> ok Test: partsel -> ok @@ -16418,98 +16419,98 @@ make[3]: Leaving directory '/build/yosys-0.23/tests/simple' cd tests/simple_abc9 && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/simple_abc9' -Test: case_expr_const -> ok -Test: always03 -> ok -Test: always01 -> ok Test: arrays01 -> ok Test: always02 -> ok -Test: attrib04_net_var -> ok -Test: attrib09_case -> ok +Test: always01 -> ok +Test: always03 -> ok Test: attrib02_port_decl -> ok -Test: carryadd -> ok -Test: attrib01_module -> ok Test: attrib08_mod_inst -> ok +Test: attrib01_module -> ok Test: aes_kexp128 -> ok +Test: attrib09_case -> ok +Test: carryadd -> ok +Test: attrib04_net_var -> ok Test: arraycells -> ok Test: const_branch_finish -> ok Test: attrib03_parameter -> ok -Test: case_expr_non_const -> ok +Test: case_expr_const -> ok Test: attrib06_operator_suffix -> ok +Test: case_expr_non_const -> ok Test: const_fold_func -> ok Test: constpower -> ok Test: forgen01 -> ok Test: forgen02 -> ok -Test: fiedler-cooley -> ok -Test: dff_init -> ok Test: func_recurse -> ok -Test: genblk_collide -> ok +Test: fsm -> ok Test: func_block -> ok Test: forloops -> ok -Test: fsm -> ok +Test: dff_init -> ok +Test: genblk_collide -> ok +Test: fiedler-cooley -> ok Test: genblk_dive -> ok +Test: func_width_scope -> ok Test: genblk_order -> ok -Test: const_func_shadow -> ok Test: genblk_port_shadow -> ok -Test: func_width_scope -> ok Test: dff_different_styles -> ok +Test: const_func_shadow -> ok Test: graphtest -> ok Test: hierarchy -> ok -Test: ifdef_2 -> ok Test: ifdef_1 -> ok +Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: macro_arg_surrounding_spaces -> ok Test: i2c_master_tests -> ok -Test: macros -> ok Test: module_scope_case -> ok +Test: macros -> ok Test: hierdefparam -> ok Test: loops -> ok Test: mem2reg_bounds_tern -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok -Test: mem_arst -> ok Test: module_scope -> ok -Test: muxtree -> ok Test: omsp_dbg_uart -> ok +Test: muxtree -> ok Test: param_attr -> ok -Test: mem2reg -> ok +Test: mem_arst -> ok Test: multiplier -> ok +Test: mem2reg -> ok Test: retime -> ok Test: realexpr -> ok Test: repwhile -> ok Test: process -> ok Test: paramods -> ok -Test: scopes -> ok Test: string_format -> ok Test: signed_full_slice -> ok -Test: constmuldivmod -> ok +Test: scopes -> ok Test: signedexpr -> ok Test: undef_eqx_nex -> ok -Test: subbytes -> ok Test: usb_phy_tests -> ok -Test: verilog_primitives -> ok +Test: subbytes -> ok Test: abc9 -> ok -Test: generate -> ok +Test: verilog_primitives -> ok +Test: constmuldivmod -> ok +Test: arrays02 -> ok Test: values -> ok Test: case_expr_extend -> ok -Test: arrays02 -> ok -Test: task_func -> ok -Test: vloghammer -> ok Test: case_expr_query -> ok +Test: generate -> ok Test: wandwor -> ok -Test: rotate -> ok -Test: lesser_size_cast -> ok +Test: vloghammer -> ok +Test: task_func -> ok Test: implicit_ports -> ok Test: local_loop_var -> ok +Test: lesser_size_cast -> ok Test: matching_end_labels -> ok Test: defvalue -> ok -Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok -Test: macro_arg_spaces -> ok +Test: memwr_port_connection -> ok +Test: rotate -> ok Test: asgn_binop -> ok -Test: wreduce -> ok +Test: macro_arg_spaces -> ok Test: memory -> ok +Test: wreduce -> ok Test: sincos -> ok Test: partsel -> ok Test: operators -> ok @@ -16524,14 +16525,14 @@ Test: test_parse2synthtrans -> ok Test: test_simulation_nand -> ok Test: test_simulation_or -> ok -Test: test_simulation_vlib -> ok Test: test_simulation_and -> ok -Test: test_parser -> ok Test: test_simulation_inc -> ok +Test: test_parser -> ok +Test: test_simulation_vlib -> ok Test: test_simulation_sop -> ok Test: test_simulation_xnor -> ok -Test: test_simulation_decoder -> ok Test: test_simulation_xor -> ok +Test: test_simulation_decoder -> ok Test: test_simulation_always -> ok Test: test_simulation_mux -> ok Test: test_simulation_techmap -> ok @@ -16541,79 +16542,79 @@ make[3]: Leaving directory '/build/yosys-0.23/tests/hana' cd tests/asicworld && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/asicworld' -Test: code_hdl_models_d_ff_gates -> ok +Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_d_latch_gates -> ok +Test: code_hdl_models_encoder_4to2_gates -> ok +Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_clk_div -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: code_hdl_models_dff_async_reset -> ok +Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: code_hdl_models_dff_sync_reset -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok -Test: code_hdl_models_arbiter -> ok -Test: code_hdl_models_encoder_using_if -> ok +Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_encoder_using_case -> ok +Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_clk_div_45 -> ok +Test: code_hdl_models_encoder_using_if -> ok +Test: code_hdl_models_full_adder_gates -> ok +Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok -Test: code_hdl_models_lfsr -> ok +Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_2to1_gates -> ok -Test: code_hdl_models_gray_counter -> ok -Test: code_hdl_models_mux_using_if -> ok +Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_mux_using_case -> ok -Test: code_hdl_models_one_hot_cnt -> ok +Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_lfsr_updown -> ok -Test: code_hdl_models_parity_using_bitwise -> ok -Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_parity_using_assign -> ok +Test: code_hdl_models_one_hot_cnt -> ok +Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok -Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_rom_using_case -> ok +Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_tff_async_reset -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_up_counter -> ok -Test: code_hdl_models_serial_crc -> ok Test: code_tidbits_asyn_reset -> ok -Test: code_tidbits_blocking -> ok Test: code_hdl_models_up_counter_load -> ok +Test: code_hdl_models_serial_crc -> ok +Test: code_tidbits_blocking -> ok +Test: code_hdl_models_pri_encoder_using_assign -> ok +Test: code_tidbits_nonblocking -> ok +Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_fsm_using_always -> ok +Test: code_tidbits_reg_seq_example -> ok Test: code_hdl_models_up_down_counter -> ok -Test: code_tidbits_reg_combo_example -> ok -Test: code_specman_switch_fabric -> ok -Test: code_tidbits_nonblocking -> ok Test: code_tidbits_fsm_using_function -> ok -Test: code_tidbits_reg_seq_example -> ok -Test: code_tidbits_wire_example -> ok +Test: code_verilog_tutorial_always_example -> ok Test: code_tidbits_syn_reset -> ok +Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_bus_con -> ok -Test: code_verilog_tutorial_always_example -> ok +Test: code_verilog_tutorial_addbit -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_verilog_tutorial_comment -> ok -Test: code_verilog_tutorial_addbit -> ok -Test: code_verilog_tutorial_escape_id -> ok +Test: code_specman_switch_fabric -> ok Test: code_verilog_tutorial_counter -> ok -Test: code_verilog_tutorial_multiply -> ok +Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_d_ff -> ok -Test: code_verilog_tutorial_if_else -> ok -Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_decoder_always -> ok -Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_decoder -> ok -Test: code_verilog_tutorial_explicit -> ok +Test: code_verilog_tutorial_first_counter -> ok +Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_mux_21 -> ok +Test: code_verilog_tutorial_explicit -> ok +Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_n_out_primitive -> ok +Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_simple_function -> ok -Test: code_verilog_tutorial_v2k_reg -> ok -Test: code_verilog_tutorial_simple_if -> ok -Test: code_verilog_tutorial_parity -> ok +Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_task_global -> ok -Test: code_verilog_tutorial_fsm_full -> ok +Test: code_verilog_tutorial_parity -> ok +Test: code_verilog_tutorial_simple_if -> ok +Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_tri_buf -> ok +Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_which_clock -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_cam -> ok @@ -16631,13 +16632,13 @@ cd tests/fsm && bash run-test.sh "" generating tests.. -PRNG seed: 122977797236495718 +PRNG seed: 2877766306494351907 running tests.. make[3]: Entering directory '/build/yosys-0.23/tests/fsm' -[0][1][2][3][4][5][6][7][8][9][10][11][12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[13][14][15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: @@ -16652,86 +16653,98 @@ Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[16]K[17]K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[16]K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[20]K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[22]K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[24]K[25]K[26]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[24]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[27]KWarning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[25]K[26]K[27]K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +[28]K[29]K[30]K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[29]K[30]K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[32]K[33]K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[32]K[33]K[34]K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[36]K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[38]K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +K[40]K[41]K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[48]K[49]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +KK[44][45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -KKKKKKKKKKKKKKTT +K[46]K[47]K[48]K[49]KWarning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +KKKKKKKKKKKKTT make[3]: Leaving directory '/build/yosys-0.23/tests/fsm' cd tests/techmap && bash run-test.sh make[3]: Entering directory '/build/yosys-0.23/tests/techmap' Warning: wire '\Q' is assigned in a block at < ok Test: firrtl_938 -> ok Test: no_implicit_en -> ok +Test: implicit_en -> ok Test: simple_sram_byte_en -> ok Test: shared_ports -> ok -Test: read_two_mux -> ok -Test: wide_read_mixed -> ok -Test: read_arst -> ok Test: amber23_sram_byte_en -> ok +Test: wide_all -> ok Test: wide_read_async -> ok -Test: issue00710 -> ok +Test: read_two_mux -> ok +Test: read_arst -> ok +Test: trans_sp -> ok Test: trans_sdp -> ok -Test: wide_all -> ok Test: trans_addr_enable -> ok +Test: issue00710 -> ok Test: wide_thru_priority -> ok -Test: trans_sp -> ok +Test: wide_read_trans -> ok Test: wide_read_sync -> ok +Test: wide_read_mixed -> ok Test: wide_write -> ok -Test: wide_read_trans -> ok Test: issue00335 -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/memories' Testing expectations for amber23_sram_byte_en.v .. ok. @@ -17001,305 +17014,305 @@ Testing expectations for wide_write.v .. ok. cd tests/memlib && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/memlib' -Test: t_sync_small_block -> ok Test: t_sync_small_block_attr -> ok -Test: t_sync_trans_old_old -> ok -Test: t_sync_trans_old_new -> ok -Test: t_async_small -> ok Test: t_sync_2clk -> ok +Test: t_async_small -> ok Test: t_sync_shared -> ok Test: t_sync_small -> ok +Test: t_sync_trans_old_old -> ok +Test: t_sync_small_block -> ok Test: t_tdp -> ok -Test: t_sync_big -> ok Test: t_sync_big_sdp -> ok -Test: t_async_small_block -> ok +Test: t_sync_big -> ok Test: t_sync_2clk_shared -> ok -Test: t_sync_trans_new_old -> ok -Test: t_sp_new_none -> ok Test: t_sync_trans_old_none -> ok -Test: t_sync_trans_new_none -> ok +Test: t_async_small_block -> ok +Test: t_sp_new_none -> ok +Test: t_sync_trans_old_new -> ok Test: t_sp_nc_none -> ok Test: t_sync_trans_new_new -> ok -Test: t_sp_new_nc -> ok +Test: t_sync_trans_new_old -> ok +Test: t_sync_trans_new_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_old_none -> ok -Test: t_sp_nc_new -> ok -Test: t_sp_old_nc -> ok +Test: t_sp_new_nc -> ok Test: t_sp_new_new -> ok +Test: t_sp_old_nc -> ok +Test: t_sp_nc_new -> ok Test: t_sp_old_old -> ok +Test: t_sp_nc_new_only -> ok Test: t_sp_new_old -> ok Test: t_sp_nc_old -> ok Test: t_sp_new_new_only -> ok -Test: t_sp_new_new_only_be -> ok -Test: t_sp_nc_new_only -> ok -Test: t_sp_old_new -> ok Test: t_sp_nc_new_only_be -> ok +Test: t_sp_old_new -> ok +Test: t_sp_new_new_only_be -> ok Test: t_sp_old_new_only -> ok -Test: t_sp_nc_new_be -> ok Test: t_sp_new_new_be -> ok -Test: t_sp_old_new_only_be -> ok +Test: t_sp_nc_new_be -> ok Test: t_sp_new_old_be -> ok +Test: t_sp_nc_nc_be -> ok Test: t_sp_old_old_be -> ok -Test: t_sp_old_new_be -> ok Test: t_sp_nc_old_be -> ok +Test: t_sp_old_new_only_be -> ok +Test: t_sp_old_new_be -> ok Test: t_sp_nc_auto -> ok Test: t_sp_new_nc_be -> ok -Test: t_sp_nc_nc_be -> ok Test: t_sp_new_auto -> ok -Test: t_sp_old_auto -> ok Test: t_sp_old_nc_be -> ok +Test: t_sp_old_auto -> ok Test: t_sp_new_auto_be -> ok Test: t_sp_old_auto_be -> ok -Test: t_sp_nc_auto_be -> ok -Test: t_sp_init_0_0_re -> ok -Test: t_sp_init_x_x_ce -> ok Test: t_sp_init_x_x_re -> ok Test: t_sp_init_x_x -> ok Test: t_sp_init_0_x -> ok +Test: t_sp_nc_auto_be -> ok +Test: t_sp_init_x_x_ce -> ok +Test: t_sp_init_0_x_re -> ok Test: t_sp_init_0_0 -> ok +Test: t_sp_init_0_0_re -> ok Test: t_sp_init_0_any -> ok -Test: t_sp_init_0_x_re -> ok +Test: t_sp_init_0_any_re -> ok +Test: t_sp_init_v_0_re -> ok Test: t_sp_init_v_x -> ok Test: t_sp_init_v_x_re -> ok -Test: t_sp_init_0_any_re -> ok Test: t_sp_init_v_0 -> ok -Test: t_sp_init_v_0_re -> ok Test: t_sp_init_v_any -> ok Test: t_sp_init_v_any_re -> ok Test: t_sp_arst_x_x -> ok Test: t_sp_arst_x_x_re -> ok +Test: t_sp_arst_0_x_re -> ok +Test: t_sp_arst_0_x -> ok Test: t_sp_arst_0_0 -> ok Test: t_sp_arst_0_0_re -> ok -Test: t_sp_arst_0_x -> ok -Test: t_sp_arst_0_x_re -> ok Test: t_sp_arst_0_any_re -> ok Test: t_sp_arst_0_any -> ok -Test: t_sp_arst_0_init -> ok Test: t_sp_arst_0_init_re -> ok -Test: t_sp_arst_v_0_re -> ok -Test: t_sp_arst_v_x -> ok +Test: t_sp_arst_0_init -> ok Test: t_sp_arst_v_x_re -> ok Test: t_sp_arst_v_0 -> ok +Test: t_sp_arst_v_x -> ok +Test: t_sp_arst_v_0_re -> ok Test: t_sp_arst_v_any -> ok Test: t_sp_arst_v_any_re -> ok Test: t_sp_arst_v_init -> ok Test: t_sp_arst_v_init_re -> ok Test: t_sp_arst_e_x -> ok -Test: t_sp_arst_e_x_re -> ok -Test: t_sp_arst_e_0 -> ok Test: t_sp_arst_e_any -> ok -Test: t_sp_arst_e_0_re -> ok -Test: t_sp_arst_n_x -> ok -Test: t_sp_arst_e_init -> ok Test: t_sp_arst_e_any_re -> ok +Test: t_sp_arst_e_init -> ok +Test: t_sp_arst_e_x_re -> ok +Test: t_sp_arst_e_0_re -> ok +Test: t_sp_arst_e_0 -> ok Test: t_sp_arst_e_init_re -> ok -Test: t_sp_arst_n_0 -> ok +Test: t_sp_arst_n_x -> ok Test: t_sp_arst_n_x_re -> ok -Test: t_sp_arst_n_any -> ok +Test: t_sp_arst_n_0 -> ok Test: t_sp_arst_n_0_re -> ok -Test: t_sp_arst_n_init_re -> ok -Test: t_sp_arst_n_any_re -> ok +Test: t_sp_arst_n_any -> ok Test: t_sp_arst_n_init -> ok -Test: t_sp_srst_x_x -> ok +Test: t_sp_arst_n_any_re -> ok +Test: t_sp_arst_n_init_re -> ok Test: t_sp_srst_x_x_re -> ok -Test: t_sp_srst_0_x_re -> ok -Test: t_sp_srst_0_0 -> ok -Test: t_sp_srst_0_any -> ok +Test: t_sp_srst_x_x -> ok Test: t_sp_srst_0_x -> ok +Test: t_sp_srst_0_0 -> ok +Test: t_sp_srst_0_x_re -> ok Test: t_sp_srst_0_0_re -> ok +Test: t_sp_srst_0_any -> ok +Test: t_sp_srst_0_any_re -> ok Test: t_sp_srst_0_init -> ok +Test: t_sp_srst_v_0 -> ok Test: t_sp_srst_0_init_re -> ok -Test: t_sp_srst_0_any_re -> ok Test: t_sp_srst_v_x -> ok -Test: t_sp_srst_v_any -> ok -Test: t_sp_srst_v_0 -> ok +Test: t_sp_srst_v_any_re -> ok Test: t_sp_srst_v_x_re -> ok +Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_0_re -> ok -Test: t_sp_srst_v_any_re -> ok -Test: t_sp_srst_v_any_ce_gated -> ok -Test: t_sp_srst_v_any_ce -> ok -Test: t_sp_srst_v_init -> ok Test: t_sp_srst_v_any_re_gated -> ok +Test: t_sp_srst_v_any_ce -> ok +Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_init_re -> ok +Test: t_sp_srst_v_init -> ok Test: t_sp_srst_e_x_re -> ok +Test: t_sp_srst_e_0 -> ok +Test: t_sp_srst_e_0_re -> ok +Test: t_sp_srst_e_any_re -> ok Test: t_sp_srst_e_x -> ok Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_0 -> ok Test: t_sp_srst_e_init -> ok -Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_0_re -> ok Test: t_sp_srst_e_init_re -> ok -Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_x -> ok +Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_any_re -> ok -Test: t_sp_srst_n_any -> ok Test: t_sp_srst_n_0 -> ok +Test: t_sp_srst_n_any -> ok +Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_init -> ok Test: t_sp_srst_n_init_re -> ok -Test: t_sp_srst_gv_0 -> ok Test: t_sp_srst_gv_x_re -> ok -Test: t_sp_srst_gv_any -> ok Test: t_sp_srst_gv_x -> ok +Test: t_sp_srst_gv_0 -> ok Test: t_sp_srst_gv_0_re -> ok -Test: t_sp_srst_gv_any_re -> ok +Test: t_sp_srst_gv_any -> ok Test: t_sp_srst_gv_any_re_gated -> ok Test: t_sp_srst_gv_any_ce -> ok +Test: t_sp_srst_gv_any_re -> ok +Test: t_sp_srst_gv_init -> ok +Test: t_sp_srst_gv_init_re -> ok Test: t_sp_srst_gv_any_ce_gated -> ok Test: t_wide_sdp_a6r1w1b1x1 -> ok -Test: t_sp_srst_gv_init_re -> ok -Test: t_sp_srst_gv_init -> ok -Test: t_async_big -> ok -Test: t_wide_sdp_a7r1w1b1x1 -> ok -Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_wide_sdp_a8r1w1b1x1 -> ok +Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok +Test: t_wide_sdp_a8r1w1b1x1 -> ok +Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b1x0 -> ok -Test: t_sync_big_lut -> ok -Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok +Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r0w2b0x0 -> ok +Test: t_wide_sdp_a6r0w1b1x0 -> ok +Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok Test: t_wide_sdp_a6r0w3b2x0 -> ok -Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r0w4b2x0 -> ok +Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r0w0b0x0 -> ok +Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok -Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r3w0b0x0 -> ok -Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a6r0w5b2x0 -> ok +Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sdp_a7r0w1b1x0 -> ok +Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w1b0x0 -> ok +Test: t_wide_sdp_a7r5w0b0x0 -> ok Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a7r0w3b2x0 -> ok -Test: t_wide_sdp_a7r5w0b0x0 -> ok +Test: t_sync_big_lut -> ok +Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sdp_a7r0w4b2x0 -> ok -Test: t_wide_sp_mix_a6r2w0b0 -> ok -Test: t_wide_sp_mix_a8r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok Test: t_wide_sp_mix_a6r1w0b0 -> ok -Test: t_wide_sp_mix_a7r1w1b1 -> ok +Test: t_wide_sp_mix_a8r1w1b1 -> ok +Test: t_async_big -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok +Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok +Test: t_wide_sp_mix_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a6r4w0b0 -> ok -Test: t_wide_sp_mix_a6r0w2b2 -> ok -Test: t_wide_sp_mix_a6r0w2b0 -> ok -Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a6r5w0b0 -> ok +Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok +Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok -Test: t_wide_sp_mix_a7r3w0b0 -> ok +Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok +Test: t_wide_sp_mix_a7r3w0b0 -> ok +Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok +Test: t_wide_sp_mix_a7r0w1b0 -> ok +Test: t_wide_sp_mix_a7r5w0b0 -> ok Test: t_wide_sp_mix_a7r0w2b0 -> ok +Test: t_wide_sp_tied_a6r1w1b1 -> ok +Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok -Test: t_wide_sp_mix_a7r5w0b0 -> ok +Test: t_wide_sp_mix_a7r0w4b2 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok Test: t_wide_sp_mix_a6r0w5b2 -> ok -Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok -Test: t_wide_sp_mix_a7r0w4b2 -> ok -Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b0 -> ok +Test: t_wide_sp_tied_a6r4w0b0 -> ok +Test: t_wide_sp_mix_a7r0w5b2 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok +Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a7r0w5b2 -> ok -Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok -Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok +Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok -Test: t_wide_sp_tied_a7r3w0b0 -> ok +Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok +Test: t_wide_sp_tied_a7r3w0b0 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok -Test: t_wide_sp_tied_a7r0w2b2 -> ok -Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok -Test: t_wide_sp_tied_a7r5w0b0 -> ok -Test: t_wide_sp_tied_a7r0w3b2 -> ok +Test: t_wide_sp_tied_a7r0w2b0 -> ok +Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_read_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a7r0w4b2 -> ok +Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_write_a6r1w1b1 -> ok -Test: t_wide_read_a8r1w1b1 -> ok +Test: t_wide_sp_tied_a7r5w0b0 -> ok +Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_read_a7r1w1b1 -> ok Test: t_wide_write_a7r1w1b1 -> ok -Test: t_wide_write_a8r1w1b1 -> ok -Test: t_wide_read_a6r0w0b0 -> ok -Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a6r1w0b0 -> ok -Test: t_wide_write_a6r1w0b0 -> ok -Test: t_wide_write_a6r2w0b0 -> ok +Test: t_wide_read_a6r0w0b0 -> ok +Test: t_wide_read_a8r1w1b1 -> ok Test: t_wide_write_a6r0w0b0 -> ok -Test: t_wide_read_a6r3w0b0 -> ok -Test: t_wide_read_a6r2w0b0 -> ok +Test: t_wide_write_a6r1w0b0 -> ok +Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_write_a6r3w0b0 -> ok +Test: t_wide_read_a6r2w0b0 -> ok +Test: t_wide_sp_tied_a7r0w5b2 -> ok +Test: t_wide_read_a6r3w0b0 -> ok +Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_write_a6r4w0b0 -> ok -Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok -Test: t_wide_read_a6r5w0b0 -> ok +Test: t_wide_write_a6r0w2b0 -> ok +Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok -Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_write_a6r0w2b2 -> ok -Test: t_wide_read_a6r0w3b2 -> ok +Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok +Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a6r5w0b0 -> ok -Test: t_wide_write_a6r0w4b2 -> ok -Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_read_a7r0w0b0 -> ok -Test: t_wide_read_a6r0w4b2 -> ok +Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_write_a7r0w0b0 -> ok -Test: t_wide_read_a7r2w0b0 -> ok +Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r1w0b0 -> ok -Test: t_wide_read_a6r0w5b2 -> ok +Test: t_wide_read_a7r2w0b0 -> ok +Test: t_wide_write_a6r0w4b2 -> ok +Test: t_wide_read_a6r0w4b2 -> ok Test: t_wide_write_a7r2w0b0 -> ok -Test: t_wide_read_a7r3w0b0 -> ok +Test: t_wide_read_a6r0w5b2 -> ok +Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_write_a6r0w5b2 -> ok -Test: t_wide_write_a7r3w0b0 -> ok -Test: t_wide_read_a7r0w1b0 -> ok -Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_write_a7r4w0b0 -> ok +Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r0w1b1 -> ok +Test: t_wide_read_a7r0w1b0 -> ok Test: t_wide_write_a7r0w1b0 -> ok -Test: t_async_big_block -> ok +Test: t_wide_write_a7r4w0b0 -> ok +Test: t_wide_read_a7r5w0b0 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_write_a7r0w2b0 -> ok -Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r0w2b2 -> ok -Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_write_a7r0w2b2 -> ok Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_read_a7r0w3b2 -> ok +Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_read_a7r0w4b2 -> ok Test: t_wide_write_a7r0w5b2 -> ok Test: t_wide_read_a7r0w5b2 -> ok +Test: t_async_big_block -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/memlib' cd tests/bram && bash run-test.sh "" generating tests.. -PRNG seed: 997518 +PRNG seed: 144119 running tests.. make[3]: Entering directory '/build/yosys-0.23/tests/bram' ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. @@ -17316,52 +17329,52 @@ ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 00_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. -Passed memory_bram test 00_01. +Passed memory_bram test 02_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 03_00. +Passed memory_bram test 02_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 03_02. +Passed memory_bram test 02_00. +Passed memory_bram test 01_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. -Passed memory_bram test 00_03. -Passed memory_bram test 03_01. -Passed memory_bram test 00_04. -Passed memory_bram test 02_00. -Passed memory_bram test 02_03. -Passed memory_bram test 02_01. -Passed memory_bram test 03_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. -Passed memory_bram test 02_04. -Passed memory_bram test 03_02. Passed memory_bram test 01_03. -Passed memory_bram test 01_04. +Passed memory_bram test 00_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 01_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 03_04. +Passed memory_bram test 00_01. +Passed memory_bram test 01_02. +Passed memory_bram test 04_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. +Passed memory_bram test 00_03. +Passed memory_bram test 04_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. -Passed memory_bram test 01_02. -Passed memory_bram test 04_01. -Passed memory_bram test 04_03. -Passed memory_bram test 00_02. -Passed memory_bram test 01_00. -Passed memory_bram test 04_00. +Passed memory_bram test 03_01. Passed memory_bram test 04_02. -Passed memory_bram test 03_04. +Passed memory_bram test 02_01. +Passed memory_bram test 04_00. make[3]: Leaving directory '/build/yosys-0.23/tests/bram' cd tests/various && bash run-test.sh make[3]: Entering directory '/build/yosys-0.23/tests/various' @@ -17376,18 +17389,16 @@ Warning: Yosys has only limited support for tri-state logic at the moment. (<ok cd tests/svtypes && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/svtypes' -<