Diff of the two buildlogs: -- --- b1/build.log 2024-01-14 07:32:10.997314191 +0000 +++ b2/build.log 2024-01-14 09:22:27.763286260 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Sat Jan 13 18:49:28 -12 2024 -I: pbuilder-time-stamp: 1705214968 +I: Current time: Sun Feb 16 03:55:27 +14 2025 +I: pbuilder-time-stamp: 1739627727 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration @@ -41,49 +41,81 @@ dpkg-source: info: applying sparc-memmodel-include I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/928925/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D01_modify_environment starting +debug: Running on ionos15-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Feb 15 13:55 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=15 ' - DISTRIBUTION='bookworm' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="15" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.2.15(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16 ' + DIRSTACK=() + DISTRIBUTION=bookworm + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='85fc700adef14312b27ad6335a40c2ca' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='928925' - PS1='# ' - PS2='> ' + INVOCATION_ID=e05cdf9c36744695aa1348b6d0a5e7cc + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=2113136 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/pbuilderrc_omTi --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/b1 --logfile b1/build.log ghdl_2.0.0+dfsg-6.2.dsc' - SUDO_GID='111' - SUDO_UID='106' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://78.137.99.97:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/pbuilderrc_mQ5q --distribution bookworm --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.MCz49qNP/b2 --logfile b2/build.log ghdl_2.0.0+dfsg-6.2.dsc' + SUDO_GID=111 + SUDO_UID=106 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://85.184.249.68:3128 I: uname -a - Linux ionos11-amd64 6.1.0-17-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.69-1 (2023-12-30) x86_64 GNU/Linux + Linux i-capture-the-hostname 6.5.0-0.deb12.4-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.5.10-1~bpo12+1 (2023-11-23) x86_64 GNU/Linux I: ls -l /bin total 5632 -rwxr-xr-x 1 root root 1265648 Apr 23 2023 bash @@ -141,15 +173,15 @@ -rwxr-xr-x 1 root root 52112 Sep 20 2022 readlink -rwxr-xr-x 1 root root 72752 Sep 20 2022 rm -rwxr-xr-x 1 root root 56240 Sep 20 2022 rmdir - -rwxr-xr-x 1 root root 27560 Jul 28 23:46 run-parts + -rwxr-xr-x 1 root root 27560 Jul 28 2023 run-parts -rwxr-xr-x 1 root root 126424 Jan 5 2023 sed - lrwxrwxrwx 1 root root 4 Jan 5 2023 sh -> dash + lrwxrwxrwx 1 root root 9 Feb 15 13:55 sh -> /bin/bash -rwxr-xr-x 1 root root 43888 Sep 20 2022 sleep -rwxr-xr-x 1 root root 85008 Sep 20 2022 stty -rwsr-xr-x 1 root root 72000 Mar 23 2023 su -rwxr-xr-x 1 root root 39824 Sep 20 2022 sync -rwxr-xr-x 1 root root 531984 Apr 6 2023 tar - -rwxr-xr-x 1 root root 14520 Jul 28 23:46 tempfile + -rwxr-xr-x 1 root root 14520 Jul 28 2023 tempfile -rwxr-xr-x 1 root root 109616 Sep 20 2022 touch -rwxr-xr-x 1 root root 35664 Sep 20 2022 true -rwxr-xr-x 1 root root 14568 Mar 23 2023 ulockmgr_server @@ -169,7 +201,7 @@ -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew -I: user script /srv/workspace/pbuilder/928925/tmp/hooks/D02_print_environment finished +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -343,7 +375,7 @@ Get: 112 http://deb.debian.org/debian bookworm/main amd64 tex-common all 6.18 [32.5 kB] Get: 113 http://deb.debian.org/debian bookworm/main amd64 texinfo amd64 6.8-6+b1 [1816 kB] Get: 114 http://deb.debian.org/debian bookworm/main amd64 zlib1g-dev amd64 1:1.2.13.dfsg-1 [916 kB] -Fetched 247 MB in 22s (11.2 MB/s) +Fetched 247 MB in 12s (20.2 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package readline-common. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18148 files and directories currently installed.) @@ -827,7 +859,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/ghdl-2.0.0+dfsg/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../ghdl_2.0.0+dfsg-6.2_source.changes +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for bookworm +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/ghdl-2.0.0+dfsg/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../ghdl_2.0.0+dfsg-6.2_source.changes dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 2.0.0+dfsg-6.2 dpkg-buildpackage: info: source distribution unstable @@ -1327,7 +1363,7 @@ : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/fixincludes && ./genfixes sync -echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgo/ | xargs -d ' ' -L 1 -P 15 -I{} \ +echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgo/ | xargs -d ' ' -L 1 -P 16 -I{} \ sh -c 'echo "Running autoconf2.69 in {}..." ; \ cd /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69' @@ -1434,14 +1470,14 @@ : # give information about the build process ------------------------ Build process variables ------------------------ Memory on this machine: -MemTotal: 66093208 kB -MemFree: 45869408 kB -MemAvailable: 49034812 kB -SwapCached: 107668 kB +MemTotal: 65827868 kB +MemFree: 3249184 kB +MemAvailable: 4122028 kB +SwapCached: 316552 kB SwapTotal: 205520888 kB -SwapFree: 168096560 kB -Number of parallel processes used for the build: 15 -DEB_BUILD_OPTIONS: buildinfo=+all reproducible=+all parallel=15 +SwapFree: 112308760 kB +Number of parallel processes used for the build: 16 +DEB_BUILD_OPTIONS: buildinfo=+all reproducible=+all parallel=16 Package source: gcc-12 GCC version: Base Debian version: 12 @@ -1553,7 +1589,7 @@ checking whether x86_64-linux-gnu-g++-12 accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for x86_64-linux-gnu-gnatbind... x86_64-linux-gnu-gnatbind -checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j15 -R -eS +checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j16 -R -eS checking whether compiler driver understands Ada... yes checking for x86_64-linux-gnu-gdc... no checking for gdc... no @@ -1847,7 +1883,7 @@ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi -gnatmake -v -j15 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic +gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -1918,37 +1954,34 @@ "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_nodes.ads + "binary_file-elf.ali" being checked ... + -> "binary_file-elf.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file-elf.adb "ortho_code-x86.ali" being checked ... -> "ortho_code-x86.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86.adb "ortho_code-x86-abi.ali" being checked ... -> "ortho_code-x86-abi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-abi.adb - "binary_file-elf.ali" being checked ... - -> "binary_file-elf.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/binary_file-elf.adb "ortho_code-binary.ali" being checked ... -> "ortho_code-binary.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-binary.adb + "ortho_code-decls.ali" being checked ... + -> "ortho_code-decls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-decls.adb + "ortho_code-exprs.ali" being checked ... + -> "ortho_code-exprs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-exprs.adb +ortho_code-x86-abi.adb:26:06: warning: unnecessary with of ancestor [-gnatwr] "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A default_paths.ads -ortho_code-x86-abi.adb:26:06: warning: unnecessary with of ancestor [-gnatwr] "options.ali" being checked ... -> "options.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/options.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb - "ortho_code-decls.ali" being checked ... - -> "ortho_code-decls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-decls.adb - "ortho_code-exprs.ali" being checked ... - -> "ortho_code-exprs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-exprs.adb - "dwarf.ali" being checked ... - -> "dwarf.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/dwarf.ads "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_ident.adb @@ -1958,6 +1991,9 @@ "ortho_code-types.ali" being checked ... -> "ortho_code-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-types.adb + "dwarf.ali" being checked ... + -> "dwarf.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/dwarf.ads "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb @@ -2025,10 +2061,10 @@ "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads +netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb -netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb @@ -2068,6 +2104,30 @@ "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads + "elf32.ali" being checked ... + -> "elf32.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf32.adb + "elf64.ali" being checked ... + -> "elf64.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf64.adb + "elf_arch.ali" being checked ... + -> "elf_arch.ali" missing. +x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A elf_arch.ads + "elf_common.ali" being checked ... + -> "elf_common.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_common.adb + "ortho_code-disps.ali" being checked ... + -> "ortho_code-disps.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-disps.adb + "ortho_code-x86-emits.ali" being checked ... + -> "ortho_code-x86-emits.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb + "ortho_code-x86-insns.ali" being checked ... + -> "ortho_code-x86-insns.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-insns.adb + "ortho_code-x86-flags.ali" being checked ... + -> "ortho_code-x86-flags.ali" missing. +x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ortho_code-x86-flags.ads "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb @@ -2155,15 +2215,6 @@ "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb - "vhdl-disp_tree.ali" being checked ... - -> "vhdl-disp_tree.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb - "vhdl-nodes_meta.ali" being checked ... - -> "vhdl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb - "vhdl-sem_lib.ali" being checked ... - -> "vhdl-sem_lib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads @@ -2182,48 +2233,24 @@ "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads + "vhdl-disp_tree.ali" being checked ... + -> "vhdl-disp_tree.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse.adb - "ortho_code-disps.ali" being checked ... - -> "ortho_code-disps.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-disps.adb - "ortho_code-x86-emits.ali" being checked ... - -> "ortho_code-x86-emits.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb - "ortho_code-x86-insns.ali" being checked ... - -> "ortho_code-x86-insns.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-insns.adb - "ortho_code-x86-flags.ali" being checked ... - -> "ortho_code-x86-flags.ali" missing. -x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ortho_code-x86-flags.ads "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb - "disassemble.ali" being checked ... - -> "disassemble.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/disassemble.ads - "hex_images.ali" being checked ... - -> "hex_images.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/hex_images.adb - "memsegs.ali" being checked ... - -> "memsegs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/memsegs.ads - "elf32.ali" being checked ... - -> "elf32.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf32.adb - "elf64.ali" being checked ... - -> "elf64.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf64.adb - "elf_arch.ali" being checked ... - -> "elf_arch.ali" missing. -x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A elf_arch.ads - "elf_common.ali" being checked ... - -> "elf_common.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_common.adb + "vhdl-nodes_meta.ali" being checked ... + -> "vhdl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb + "vhdl-sem_lib.ali" being checked ... + -> "vhdl-sem_lib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb @@ -2254,6 +2281,21 @@ "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb + "disassemble.ali" being checked ... + -> "disassemble.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/disassemble.ads + "hex_images.ali" being checked ... + -> "hex_images.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/hex_images.adb + "memsegs.ali" being checked ... + -> "memsegs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/memsegs.ads + "ortho_code-opts.ali" being checked ... + -> "ortho_code-opts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-opts.adb + "netlists-locations.ali" being checked ... + -> "netlists-locations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb @@ -2263,21 +2305,9 @@ "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb - "netlists-locations.ali" being checked ... - -> "netlists-locations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb - "ortho_code-opts.ali" being checked ... - -> "ortho_code-opts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-opts.adb - "logging.ali" being checked ... - -> "logging.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads - "netlists-gates.ali" being checked ... - -> "netlists-gates.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb @@ -2299,12 +2329,12 @@ "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads - "vhdl-sem.ali" being checked ... - -> "vhdl-sem.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb + "netlists-gates.ali" being checked ... + -> "netlists-gates.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb @@ -2314,12 +2344,27 @@ "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb - "vhdl-prints.ali" being checked ... - -> "vhdl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb + "logging.ali" being checked ... + -> "logging.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb + "vhdl-sem.ali" being checked ... + -> "vhdl-sem.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb + "synth-vhdl_expr.ali" being checked ... + -> "synth-vhdl_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb + "synth-vhdl_environment.ali" being checked ... + -> "synth-vhdl_environment.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb + "elf_arch64.ali" being checked ... + -> "elf_arch64.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_arch64.ads + "vhdl-prints.ali" being checked ... + -> "vhdl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb @@ -2332,15 +2377,27 @@ "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb - "synth-vhdl_expr.ali" being checked ... - -> "synth-vhdl_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb - "synth-vhdl_environment.ali" being checked ... - -> "synth-vhdl_environment.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb + "ortho_code-x86-flags_linux64.ali" being checked ... + -> "ortho_code-x86-flags_linux64.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-flags_linux64.ads "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads + "vhdl-nodes_utils.ali" being checked ... + -> "vhdl-nodes_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb + "vhdl-sem_utils.ali" being checked ... + -> "vhdl-sem_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb + "vhdl-evaluation.ali" being checked ... + -> "vhdl-evaluation.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb + "vhdl-nodes_walk.ali" being checked ... + -> "vhdl-nodes_walk.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb + "vhdl-sem_scopes.ali" being checked ... + -> "vhdl-sem_scopes.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. x86_64-linux-gnu-gcc-12 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A grt-backtraces-impl.ads @@ -2368,9 +2425,6 @@ "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stats.adb - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_rti.adb @@ -2401,6 +2455,9 @@ "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb + "psl-types.ali" being checked ... + -> "psl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb @@ -2410,39 +2467,12 @@ "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads - "grt-table.ali" being checked ... - -> "grt-table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb - "vhdl-nodes_utils.ali" being checked ... - -> "vhdl-nodes_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb - "vhdl-sem_utils.ali" being checked ... - -> "vhdl-sem_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb - "vhdl-evaluation.ali" being checked ... - -> "vhdl-evaluation.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb - "vhdl-nodes_walk.ali" being checked ... - -> "vhdl-nodes_walk.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb - "vhdl-sem_scopes.ali" being checked ... - -> "vhdl-sem_scopes.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb - "grt-strings.ali" being checked ... - -> "grt-strings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb - "grt-wave_opt.ali" being checked ... - -> "grt-wave_opt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb - "grt-wave_opt-file.ali" being checked ... - -> "grt-wave_opt-file.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb @@ -2458,9 +2488,6 @@ "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb - "psl-types.ali" being checked ... - -> "psl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb @@ -2470,6 +2497,21 @@ "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads + "grt-table.ali" being checked ... + -> "grt-table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb + "grt-strings.ali" being checked ... + -> "grt-strings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb + "grt-wave_opt.ali" being checked ... + -> "grt-wave_opt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb + "grt-wave_opt-file.ali" being checked ... + -> "grt-wave_opt-file.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb @@ -2488,30 +2530,33 @@ "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb + "lists.ali" being checked ... + -> "lists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb - "ortho_code-x86-flags_linux64.ali" being checked ... - -> "ortho_code-x86-flags_linux64.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/ortho_code-x86-flags_linux64.ads - "lists.ali" being checked ... - -> "lists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb + "psl-hash.ali" being checked ... + -> "psl-hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "disa_x86.ali" being checked ... -> "disa_x86.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/disa_x86.adb + "grt-files_operations.ali" being checked ... + -> "grt-files_operations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "memsegs_mmap.ali" being checked ... -> "memsegs_mmap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/memsegs_mmap.adb - "elf_arch64.ali" being checked ... - -> "elf_arch64.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/mcode/elf_arch64.ads - "psl-hash.ali" being checked ... - -> "psl-hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb + "netlists-butils.ali" being checked ... + -> "netlists-butils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb + "netlists-concats.ali" being checked ... + -> "netlists-concats.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "trans.ali" being checked ... -> "trans.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans.adb @@ -2539,22 +2584,12 @@ "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-rtis.adb - "grt-files_operations.ali" being checked ... - -> "grt-files_operations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb - "netlists-butils.ali" being checked ... - -> "netlists-butils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb - "netlists-concats.ali" being checked ... - -> "netlists-concats.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb -trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb @@ -2573,6 +2608,7 @@ "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb +trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb @@ -2588,15 +2624,15 @@ "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb + "grt-algos.ali" being checked ... + -> "grt-algos.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb - "grt-algos.ali" being checked ... - -> "grt-algos.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-source.adb @@ -2609,6 +2645,9 @@ "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads + "psl-nodes_priv.ali" being checked ... + -> "psl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads @@ -2624,30 +2663,30 @@ "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb - "psl-nodes_priv.ali" being checked ... - -> "psl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb - "grt-avls.ali" being checked ... - -> "grt-avls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb - "grt-ghw.ali" being checked ... - -> "grt-ghw.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads - "grt-unithread.ali" being checked ... - -> "grt-unithread.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb + "psl-disp_nfas.ali" being checked ... + -> "psl-disp_nfas.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb + "psl-optimize.ali" being checked ... + -> "psl-optimize.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb + "psl-prints.ali" being checked ... + -> "psl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb + "psl-qm.ali" being checked ... + -> "psl-qm.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb + "grt-unithread.ali" being checked ... + -> "grt-unithread.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb @@ -2666,57 +2705,51 @@ "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb - "psl-disp_nfas.ali" being checked ... - -> "psl-disp_nfas.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb - "psl-optimize.ali" being checked ... - -> "psl-optimize.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb - "psl-prints.ali" being checked ... - -> "psl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb - "psl-qm.ali" being checked ... - -> "psl-qm.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb - "trans-foreach_non_composite.ali" being checked ... - -> "trans-foreach_non_composite.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb + "grt-avls.ali" being checked ... + -> "grt-avls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avls.adb + "grt-ghw.ali" being checked ... + -> "grt-ghw.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb - "psl-priorities.ali" being checked ... - -> "psl-priorities.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "trans-foreach_non_composite.ali" being checked ... + -> "trans-foreach_non_composite.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb + "psl-subsets.ali" being checked ... + -> "psl-subsets.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb + "psl-priorities.ali" being checked ... + -> "psl-priorities.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb - "psl-subsets.ali" being checked ... - -> "psl-subsets.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb - "vhdl-flists.ali" being checked ... - -> "vhdl-flists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb - "flists.ali" being checked ... - -> "flists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb + "vhdl-flists.ali" being checked ... + -> "vhdl-flists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads @@ -2726,6 +2759,9 @@ "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb + "flists.ali" being checked ... + -> "flists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb @@ -3170,7 +3206,7 @@ | gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-12 -c -fPIC -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt-cstdio.c -gnatmake -v -j15 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o +gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -3268,9 +3304,6 @@ "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb - "logging.ali" being checked ... - -> "logging.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb @@ -3280,24 +3313,9 @@ "psl-types.ali" being checked ... -> "psl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads - "utils_io.ali" being checked ... - -> "utils_io.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb - "vhdl-prints.ali" being checked ... - -> "vhdl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb - "vhdl-tokens.ali" being checked ... - -> "vhdl-tokens.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb - "grt.ali" being checked ... - -> "grt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads - "grt-types.ali" being checked ... - -> "grt-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads - "grt-vstrings.ali" being checked ... - -> "grt-vstrings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb + "logging.ali" being checked ... + -> "logging.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb @@ -3344,13 +3362,13 @@ "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-inference.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb -netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb +netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads @@ -3378,51 +3396,45 @@ "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb + "grt.ali" being checked ... + -> "grt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads + "grt-types.ali" being checked ... + -> "grt-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads - "vhdl-sem.ali" being checked ... - -> "vhdl-sem.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb - "vhdl-sem_lib.ali" being checked ... - -> "vhdl-sem_lib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb + "utils_io.ali" being checked ... + -> "utils_io.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb + "vhdl-prints.ali" being checked ... + -> "vhdl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb + "vhdl-tokens.ali" being checked ... + -> "vhdl-tokens.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb + "grt-vstrings.ali" being checked ... + -> "grt-vstrings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads + "vhdl-sem.ali" being checked ... + -> "vhdl-sem.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb + "vhdl-sem_lib.ali" being checked ... + -> "vhdl-sem_lib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads - "netlists-iterators.ali" being checked ... - -> "netlists-iterators.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb - "netlists-utils.ali" being checked ... - -> "netlists-utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb - "netlists-locations.ali" being checked ... - -> "netlists-locations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb - "mutils.ali" being checked ... - -> "mutils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb - "areapools.ali" being checked ... - -> "areapools.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb - "elab-memtype.ali" being checked ... - -> "elab-memtype.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb - "elab-vhdl_values.ali" being checked ... - -> "elab-vhdl_values.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb @@ -3441,15 +3453,51 @@ "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb + "elab-vhdl_values.ali" being checked ... + -> "elab-vhdl_values.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb + "netlists-iterators.ali" being checked ... + -> "netlists-iterators.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb + "netlists-utils.ali" being checked ... + -> "netlists-utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb + "netlists-locations.ali" being checked ... + -> "netlists-locations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb + "mutils.ali" being checked ... + -> "mutils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb + "areapools.ali" being checked ... + -> "areapools.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb + "elab-memtype.ali" being checked ... + -> "elab-memtype.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads + "netlists-folds.ali" being checked ... + -> "netlists-folds.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads - "types_utils.ali" being checked ... - -> "types_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb + "netlists-gates_ports.ali" being checked ... + -> "netlists-gates_ports.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb + "netlists-internings.ali" being checked ... + -> "netlists-internings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb + "netlists-memories.ali" being checked ... + -> "netlists-memories.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb + "synth-errors.ali" being checked ... + -> "synth-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb + "netlists-builders.ali" being checked ... + -> "netlists-builders.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb @@ -3459,33 +3507,15 @@ "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-expands.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb - "netlists-memories.ali" being checked ... - -> "netlists-memories.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb - "netlists-builders.ali" being checked ... - -> "netlists-builders.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads - "netlists-folds.ali" being checked ... - -> "netlists-folds.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb - "netlists-gates_ports.ali" being checked ... - -> "netlists-gates_ports.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb - "netlists-internings.ali" being checked ... - -> "netlists-internings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb - "synth-errors.ali" being checked ... - -> "synth-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb + "types_utils.ali" being checked ... + -> "types_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb @@ -3495,24 +3525,30 @@ "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads - "vhdl-nodes_gc.ali" being checked ... - -> "vhdl-nodes_gc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb - "vhdl-post_sems.ali" being checked ... - -> "vhdl-post_sems.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb + "vhdl-nodes_gc.ali" being checked ... + -> "vhdl-nodes_gc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb + "vhdl-post_sems.ali" being checked ... + -> "vhdl-post_sems.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb @@ -3522,36 +3558,12 @@ "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb - "vhdl-elocations.ali" being checked ... - -> "vhdl-elocations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb - "vhdl-sem_assocs.ali" being checked ... - -> "vhdl-sem_assocs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb - "vhdl-sem_decls.ali" being checked ... - -> "vhdl-sem_decls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb - "vhdl-sem_expr.ali" being checked ... - -> "vhdl-sem_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb - "vhdl-sem_inst.ali" being checked ... - -> "vhdl-sem_inst.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb - "vhdl-sem_names.ali" being checked ... - -> "vhdl-sem_names.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb - "vhdl-sem_psl.ali" being checked ... - -> "vhdl-sem_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb - "vhdl-sem_specs.ali" being checked ... - -> "vhdl-sem_specs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb - "vhdl-sem_stmts.ali" being checked ... - -> "vhdl-sem_stmts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb - "vhdl-xrefs.ali" being checked ... - -> "vhdl-xrefs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb + "grt-files_operations.ali" being checked ... + -> "grt-files_operations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb + "grt-stdio.ali" being checked ... + -> "grt-stdio.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb @@ -3567,18 +3579,12 @@ "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb - "grt-files_operations.ali" being checked ... - -> "grt-files_operations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb - "grt-stdio.ali" being checked ... - -> "grt-stdio.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads - "netlists-butils.ali" being checked ... - -> "netlists-butils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb - "netlists-concats.ali" being checked ... - -> "netlists-concats.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb + "vhdl-sem_inst.ali" being checked ... + -> "vhdl-sem_inst.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb + "vhdl-sem_specs.ali" being checked ... + -> "vhdl-sem_specs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb @@ -3588,6 +3594,36 @@ "hash.ali" being checked ... -> "hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb + "netlists-butils.ali" being checked ... + -> "netlists-butils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb + "netlists-concats.ali" being checked ... + -> "netlists-concats.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb + "vhdl-elocations.ali" being checked ... + -> "vhdl-elocations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb + "vhdl-sem_assocs.ali" being checked ... + -> "vhdl-sem_assocs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb + "vhdl-sem_decls.ali" being checked ... + -> "vhdl-sem_decls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb + "vhdl-sem_expr.ali" being checked ... + -> "vhdl-sem_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb + "vhdl-sem_names.ali" being checked ... + -> "vhdl-sem_names.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb + "vhdl-sem_psl.ali" being checked ... + -> "vhdl-sem_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb + "vhdl-sem_stmts.ali" being checked ... + -> "vhdl-sem_stmts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb + "vhdl-xrefs.ali" being checked ... + -> "vhdl-xrefs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb @@ -3609,9 +3645,6 @@ "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb @@ -3630,9 +3663,15 @@ "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb + "grt-table.ali" being checked ... + -> "grt-table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb + "psl-cse.ali" being checked ... + -> "psl-cse.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb @@ -3642,42 +3681,39 @@ "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb - "vhdl-elocations_meta.ali" being checked ... - -> "vhdl-elocations_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb - "psl-prints.ali" being checked ... - -> "psl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb - "psl-priorities.ali" being checked ... - -> "psl-priorities.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads - "psl-subsets.ali" being checked ... - -> "psl-subsets.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb - "vhdl-sem_types.ali" being checked ... - -> "vhdl-sem_types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb - "psl-cse.ali" being checked ... - -> "psl-cse.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb + "psl-prints.ali" being checked ... + -> "psl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb - "grt-table.ali" being checked ... - -> "grt-table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb + "vhdl-elocations_meta.ali" being checked ... + -> "vhdl-elocations_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb + "psl-subsets.ali" being checked ... + -> "psl-subsets.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb + "vhdl-sem_types.ali" being checked ... + -> "vhdl-sem_types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-environment-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment-debug.adb + "psl-priorities.ali" being checked ... + -> "psl-priorities.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/pic/synth-static_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb @@ -3742,7 +3778,7 @@ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ - GNATMAKE="gnatmake -v -j15 -R -eS " all + GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' g++-12 -c `llvm-config --cxxflags` -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp In file included from /usr/include/c++/12/cassert:44, @@ -3881,7 +3917,7 @@ ../../src/ortho/llvm6/llvm-cbindings.cpp:2680:16: warning: 'Res' may be used uninitialized [-Wmaybe-uninitialized] 2680 | LLVMValueRef Res; | ^~~ -gnatmake -v -j15 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ +gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now @@ -3970,18 +4006,18 @@ "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb + "files_map.ali" being checked ... + -> "files_map.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/simple_io.adb - "version.ali" being checked ... - -> "version.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata version.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads - "files_map.ali" being checked ... - -> "files_map.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/files_map.adb + "version.ali" being checked ... + -> "version.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata version.ads "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon.adb @@ -4003,6 +4039,12 @@ "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb + "logging.ali" being checked ... + -> "logging.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb + "tables.ali" being checked ... + -> "tables.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads @@ -4051,30 +4093,6 @@ "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb - "logging.ali" being checked ... - -> "logging.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb - "tables.ali" being checked ... - -> "tables.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb - "vhdl-evaluation.ali" being checked ... - -> "vhdl-evaluation.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb - "vhdl-nodes_walk.ali" being checked ... - -> "vhdl-nodes_walk.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb - "vhdl-sem_scopes.ali" being checked ... - -> "vhdl-sem_scopes.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb - "psl-types.ali" being checked ... - -> "psl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads - "vhdl-nodes_meta.ali" being checked ... - -> "vhdl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb - "vhdl-tokens.ali" being checked ... - -> "vhdl-tokens.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb @@ -4102,18 +4120,69 @@ "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb + "vhdl-sem_scopes.ali" being checked ... + -> "vhdl-sem_scopes.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb + "psl-types.ali" being checked ... + -> "psl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads + "vhdl-nodes_meta.ali" being checked ... + -> "vhdl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb + "lists.ali" being checked ... + -> "lists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb + "vhdl-types.ali" being checked ... + -> "vhdl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads + "vhdl-tokens.ali" being checked ... + -> "vhdl-tokens.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb + "vhdl-evaluation.ali" being checked ... + -> "vhdl-evaluation.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb + "vhdl-nodes_walk.ali" being checked ... + -> "vhdl-nodes_walk.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb + "psl-hash.ali" being checked ... + -> "psl-hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb + "psl-build.ali" being checked ... + -> "psl-build.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb + "psl-nfas.ali" being checked ... + -> "psl-nfas.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb + "psl-nfas-utils.ali" being checked ... + -> "psl-nfas-utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb + "psl-rewrites.ali" being checked ... + -> "psl-rewrites.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb + "vhdl-canon_psl.ali" being checked ... + -> "vhdl-canon_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb + "vhdl-elocations_meta.ali" being checked ... + -> "vhdl-elocations_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb + "vhdl-nodes_priv.ali" being checked ... + -> "vhdl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads + "psl-nodes_priv.ali" being checked ... + -> "psl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ortho/llvm6/ortho_nodes.ads @@ -4148,72 +4217,24 @@ -> "trans_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_decls.ads trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] - "psl-build.ali" being checked ... - -> "psl-build.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb - "psl-nfas.ali" being checked ... - -> "psl-nfas.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb - "psl-nfas-utils.ali" being checked ... - -> "psl-nfas-utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb - "psl-rewrites.ali" being checked ... - -> "psl-rewrites.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb - "vhdl-canon_psl.ali" being checked ... - -> "vhdl-canon_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb - "lists.ali" being checked ... - -> "lists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb - "vhdl-types.ali" being checked ... - -> "vhdl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads - "psl-hash.ali" being checked ... - -> "psl-hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb - "psl-nodes_priv.ali" being checked ... - -> "psl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads - "grt.ali" being checked ... - -> "grt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb - "vhdl-elocations_meta.ali" being checked ... - -> "vhdl-elocations_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb - "vhdl-nodes_priv.ali" being checked ... - -> "vhdl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb - "trans-chap6.ali" being checked ... - -> "trans-chap6.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb - "trans-chap5.ali" being checked ... - -> "trans-chap5.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb - "trans-chap9.ali" being checked ... - -> "trans-chap9.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb - "trans-foreach_non_composite.ali" being checked ... - -> "trans-foreach_non_composite.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb - "trans-chap8.ali" being checked ... - -> "trans-chap8.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb + "grt.ali" being checked ... + -> "grt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb + "trans-chap6.ali" being checked ... + -> "trans-chap6.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap6.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb @@ -4226,21 +4247,36 @@ "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb + "trans-foreach_non_composite.ali" being checked ... + -> "trans-foreach_non_composite.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb + "trans-chap5.ali" being checked ... + -> "trans-chap5.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap5.adb + "trans-chap9.ali" being checked ... + -> "trans-chap9.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap9.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb + "trans-chap8.ali" being checked ... + -> "trans-chap8.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap8.adb + "psl-priorities.ali" being checked ... + -> "psl-priorities.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "trans-chap14.ali" being checked ... + -> "trans-chap14.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads - "trans-chap14.ali" being checked ... - -> "trans-chap14.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans-chap14.adb - "psl-priorities.ali" being checked ... - -> "psl-priorities.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb @@ -4254,7 +4290,7 @@ x86_64-linux-gnu-gnatbind-12 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali x86_64-linux-gnu-gnatlink-12 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o --LINK=g++-12 -L/usr/lib/llvm-14/lib -lLLVM-14 -Wl,-z,relro -Wl,-z,now -R make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' -gnatmake -v -j15 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ +gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o @@ -4341,6 +4377,8 @@ "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "bug.ali" being checked ... + "errorout-console.ali" being checked ... + "version.ali" being checked ... "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab.ads @@ -4353,7 +4391,6 @@ "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_objtypes.adb - "errorout-console.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/ghdldrv/ghdlcomp.adb @@ -4378,10 +4415,10 @@ "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-inference.adb -netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads +netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb @@ -4395,7 +4432,6 @@ "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synthesis.adb - "version.ali" being checked ... "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-annotations.adb @@ -4411,37 +4447,16 @@ "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb - "vhdl-sem_lib.ali" being checked ... "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads - "elab-vhdl_decls.ali" being checked ... - -> "elab-vhdl_decls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb - "elab-vhdl_errors.ali" being checked ... - -> "elab-vhdl_errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb - "elab-vhdl_expr.ali" being checked ... - -> "elab-vhdl_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb - "elab-vhdl_files.ali" being checked ... - -> "elab-vhdl_files.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb - "elab-vhdl_stmts.ali" being checked ... - -> "elab-vhdl_stmts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb - "elab-vhdl_types.ali" being checked ... - -> "elab-vhdl_types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb - "netlists-gates.ali" being checked ... - -> "netlists-gates.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads + "vhdl-sem_lib.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... @@ -4453,24 +4468,6 @@ "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... - "netlists-folds.ali" being checked ... - -> "netlists-folds.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb - "netlists-gates_ports.ali" being checked ... - -> "netlists-gates_ports.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb - "netlists-internings.ali" being checked ... - -> "netlists-internings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb - "netlists-memories.ali" being checked ... - -> "netlists-memories.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb - "synth-errors.ali" being checked ... - -> "synth-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb - "netlists-builders.ali" being checked ... - -> "netlists-builders.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb @@ -4480,33 +4477,54 @@ "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb + "netlists-memories.ali" being checked ... + -> "netlists-memories.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb + "netlists-builders.ali" being checked ... + -> "netlists-builders.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads - "mutils.ali" being checked ... - -> "mutils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb - "areapools.ali" being checked ... - -> "areapools.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb - "elab-memtype.ali" being checked ... - -> "elab-memtype.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb + "netlists-folds.ali" being checked ... + -> "netlists-folds.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb + "netlists-gates.ali" being checked ... + -> "netlists-gates.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads + "netlists-gates_ports.ali" being checked ... + -> "netlists-gates_ports.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb + "netlists-internings.ali" being checked ... + -> "netlists-internings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb + "synth-errors.ali" being checked ... + -> "synth-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb + "elab-vhdl_decls.ali" being checked ... + -> "elab-vhdl_decls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb + "elab-vhdl_errors.ali" being checked ... + -> "elab-vhdl_errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_errors.adb + "elab-vhdl_expr.ali" being checked ... + -> "elab-vhdl_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_expr.adb + "elab-vhdl_files.ali" being checked ... + -> "elab-vhdl_files.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_files.adb + "elab-vhdl_stmts.ali" being checked ... + -> "elab-vhdl_stmts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_stmts.adb + "elab-vhdl_types.ali" being checked ... + -> "elab-vhdl_types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_types.adb "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... - "types_utils.ali" being checked ... - -> "types_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb - "synth-vhdl_expr.ali" being checked ... - -> "synth-vhdl_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb - "synth-vhdl_environment.ali" being checked ... - -> "synth-vhdl_environment.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... @@ -4519,15 +4537,33 @@ "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "grt-to_strings.ali" being checked ... + "mutils.ali" being checked ... + -> "mutils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb + "types_utils.ali" being checked ... + -> "types_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb + "synth-vhdl_expr.ali" being checked ... + -> "synth-vhdl_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb + "synth-vhdl_environment.ali" being checked ... + -> "synth-vhdl_environment.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb + "netlists-butils.ali" being checked ... + -> "netlists-butils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb + "netlists-concats.ali" being checked ... + -> "netlists-concats.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb + "areapools.ali" being checked ... + -> "areapools.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb + "elab-memtype.ali" being checked ... + -> "elab-memtype.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb - "grt-stdio.ali" being checked ... - -> "grt-stdio.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads - "dyn_interning.ali" being checked ... - "dyn_maps.ali" being checked ... - "hash.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... @@ -4538,18 +4574,15 @@ "vhdl-sem_types.ali" being checked ... "grt-algos.ali" being checked ... "psl-subsets.ali" being checked ... + "dyn_interning.ali" being checked ... + "dyn_maps.ali" being checked ... + "hash.ali" being checked ... + "grt-stdio.ali" being checked ... + -> "grt-stdio.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads - "netlists-butils.ali" being checked ... - -> "netlists-butils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb - "netlists-concats.ali" being checked ... - -> "netlists-concats.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb - "vhdl-formatters.ali" being checked ... - -> "vhdl-formatters.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb @@ -4568,6 +4601,9 @@ "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb + "vhdl-formatters.ali" being checked ... + -> "vhdl-formatters.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-formatters.adb "interning.ali" being checked ... "synth-source.ali" being checked ... -> "synth-source.ali" missing. @@ -4732,7 +4768,7 @@ mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -4815,6 +4851,9 @@ "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb @@ -4848,9 +4887,6 @@ "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb @@ -4863,24 +4899,33 @@ "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb + "grt-backtraces-impl.ali" being checked ... + -> "grt-backtraces-impl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads + "grt-errors_exec.ali" being checked ... + -> "grt-errors_exec.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb - "grt-errors_exec.ali" being checked ... - -> "grt-errors_exec.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb + "grt-disp.ali" being checked ... + -> "grt-disp.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb + "grt-zlib.ali" being checked ... + -> "grt-zlib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb @@ -4890,15 +4935,12 @@ "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb - "grt-backtraces-impl.ali" being checked ... - -> "grt-backtraces-impl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads - "grt-disp.ali" being checked ... - -> "grt-disp.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb - "grt-zlib.ali" being checked ... - -> "grt-zlib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads + "grt-backtraces-jit.ali" being checked ... + -> "grt-backtraces-jit.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-jit.adb + "grt-threads.ali" being checked ... + -> "grt-threads.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads @@ -4908,30 +4950,21 @@ "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb + "grt-vstrings_io.ali" being checked ... + -> "grt-vstrings_io.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb + "grt-unithread.ali" being checked ... + -> "grt-unithread.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb - "grt-threads.ali" being checked ... - -> "grt-threads.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb - "grt-vstrings_io.ali" being checked ... - -> "grt-vstrings_io.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb - "grt-backtraces-jit.ali" being checked ... - -> "grt-backtraces-jit.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-jit.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb - "grt-unithread.ali" being checked ... - -> "grt-unithread.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb - "version.ali" being checked ... - -> "version.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/version.ads "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb @@ -4941,15 +4974,18 @@ "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-ghw.ads + "version.ali" being checked ... + -> "version.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/version.ads End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -4958,7 +4994,7 @@ -> "run-bind.ali" missing. x86_64-linux-gnu-gcc-12 -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -5506,7 +5542,7 @@ | gcc-12 -g -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-12 -c -fPIC -fPIC -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c -gnatmake -v -j15 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o +gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -5559,15 +5595,18 @@ "tables.ali" being checked ... -> "tables.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/tables.adb + "name_table.ali" being checked ... + -> "name_table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb + "str_table.ali" being checked ... + -> "str_table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "flags.ali" being checked ... -> "flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/libraries.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/libraries.adb - "name_table.ali" being checked ... - -> "name_table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/name_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/name_table.adb "psl.ali" being checked ... -> "psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl.ads @@ -5583,9 +5622,6 @@ "std_names.ali" being checked ... -> "std_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/std_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/std_names.adb - "str_table.ali" being checked ... - -> "str_table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/str_table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-back_end.ads @@ -5604,33 +5640,6 @@ "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb - "psl-errors.ali" being checked ... - -> "psl-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb - "psl-nodes_meta.ali" being checked ... - -> "psl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb - "psl-types.ali" being checked ... - -> "psl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads - "utils_io.ali" being checked ... - -> "utils_io.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb - "vhdl-prints.ali" being checked ... - -> "vhdl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb - "vhdl-tokens.ali" being checked ... - -> "vhdl-tokens.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb - "grt.ali" being checked ... - -> "grt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads - "grt-types.ali" being checked ... - -> "grt-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads - "grt-vstrings.ali" being checked ... - -> "grt-vstrings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb @@ -5711,42 +5720,48 @@ "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb + "grt.ali" being checked ... + -> "grt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads + "grt-types.ali" being checked ... + -> "grt-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads + "utils_io.ali" being checked ... + -> "utils_io.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb + "vhdl-prints.ali" being checked ... + -> "vhdl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb + "vhdl-tokens.ali" being checked ... + -> "vhdl-tokens.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb + "grt-vstrings.ali" being checked ... + -> "grt-vstrings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb + "psl-errors.ali" being checked ... + -> "psl-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb + "psl-nodes_meta.ali" being checked ... + -> "psl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb + "psl-types.ali" being checked ... + -> "psl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb - "psl-nodes_priv.ali" being checked ... - -> "psl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads - "vhdl-sem.ali" being checked ... - -> "vhdl-sem.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb - "vhdl-sem_lib.ali" being checked ... - -> "vhdl-sem_lib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads - "psl-hash.ali" being checked ... - -> "psl-hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb - "vhdl-nodes_meta.ali" being checked ... - -> "vhdl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads - "netlists-iterators.ali" being checked ... - -> "netlists-iterators.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb - "netlists-utils.ali" being checked ... - -> "netlists-utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb - "netlists-locations.ali" being checked ... - -> "netlists-locations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb + "vhdl-sem.ali" being checked ... + -> "vhdl-sem.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb + "vhdl-sem_lib.ali" being checked ... + -> "vhdl-sem_lib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb @@ -5768,6 +5783,21 @@ "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb + "netlists-iterators.ali" being checked ... + -> "netlists-iterators.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb + "netlists-utils.ali" being checked ... + -> "netlists-utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb + "vhdl-nodes_meta.ali" being checked ... + -> "vhdl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb + "psl-hash.ali" being checked ... + -> "psl-hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb + "netlists-locations.ali" being checked ... + -> "netlists-locations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb @@ -5780,12 +5810,12 @@ "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads - "netlists-folds.ali" being checked ... - -> "netlists-folds.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads + "netlists-folds.ali" being checked ... + -> "netlists-folds.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb @@ -5816,9 +5846,6 @@ "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/types_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/types_utils.adb @@ -5828,21 +5855,30 @@ "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb - "vhdl-nodes_priv.ali" being checked ... - -> "vhdl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads + "psl-nodes_priv.ali" being checked ... + -> "psl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb - "vhdl-nodes_gc.ali" being checked ... - -> "vhdl-nodes_gc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb - "vhdl-post_sems.ali" being checked ... - -> "vhdl-post_sems.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb + "vhdl-nodes_priv.ali" being checked ... + -> "vhdl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads + "vhdl-nodes_utils.ali" being checked ... + -> "vhdl-nodes_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb + "vhdl-sem_utils.ali" being checked ... + -> "vhdl-sem_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb @@ -5852,57 +5888,12 @@ "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb - "vhdl-nodes_utils.ali" being checked ... - -> "vhdl-nodes_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb - "vhdl-sem_utils.ali" being checked ... - -> "vhdl-sem_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb - "grt-files_operations.ali" being checked ... - -> "grt-files_operations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb - "grt-stdio.ali" being checked ... - -> "grt-stdio.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads - "dyn_interning.ali" being checked ... - -> "dyn_interning.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb - "dyn_maps.ali" being checked ... - -> "dyn_maps.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb - "hash.ali" being checked ... - -> "hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb - "vhdl-elocations.ali" being checked ... - -> "vhdl-elocations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb - "vhdl-sem_assocs.ali" being checked ... - -> "vhdl-sem_assocs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb - "vhdl-sem_decls.ali" being checked ... - -> "vhdl-sem_decls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb - "vhdl-sem_expr.ali" being checked ... - -> "vhdl-sem_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb - "vhdl-sem_inst.ali" being checked ... - -> "vhdl-sem_inst.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb - "vhdl-sem_names.ali" being checked ... - -> "vhdl-sem_names.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb - "vhdl-sem_psl.ali" being checked ... - -> "vhdl-sem_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb - "vhdl-sem_specs.ali" being checked ... - -> "vhdl-sem_specs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb - "vhdl-sem_stmts.ali" being checked ... - -> "vhdl-sem_stmts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb - "vhdl-xrefs.ali" being checked ... - -> "vhdl-xrefs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb + "vhdl-nodes_gc.ali" being checked ... + -> "vhdl-nodes_gc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb + "vhdl-post_sems.ali" being checked ... + -> "vhdl-post_sems.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb @@ -5918,6 +5909,27 @@ "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb + "vhdl-sem_inst.ali" being checked ... + -> "vhdl-sem_inst.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb + "vhdl-sem_specs.ali" being checked ... + -> "vhdl-sem_specs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb + "grt-files_operations.ali" being checked ... + -> "grt-files_operations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb + "grt-stdio.ali" being checked ... + -> "grt-stdio.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads + "dyn_interning.ali" being checked ... + -> "dyn_interning.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb + "dyn_maps.ali" being checked ... + -> "dyn_maps.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb + "hash.ali" being checked ... + -> "hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb @@ -5942,6 +5954,30 @@ "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb + "vhdl-elocations.ali" being checked ... + -> "vhdl-elocations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb + "vhdl-sem_assocs.ali" being checked ... + -> "vhdl-sem_assocs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb + "vhdl-sem_decls.ali" being checked ... + -> "vhdl-sem_decls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb + "vhdl-sem_expr.ali" being checked ... + -> "vhdl-sem_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb + "vhdl-sem_names.ali" being checked ... + -> "vhdl-sem_names.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb + "vhdl-sem_psl.ali" being checked ... + -> "vhdl-sem_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb + "vhdl-sem_stmts.ali" being checked ... + -> "vhdl-sem_stmts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb + "vhdl-xrefs.ali" being checked ... + -> "vhdl-xrefs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb @@ -5963,21 +5999,12 @@ "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb - "psl-prints.ali" being checked ... - -> "psl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb - "psl-priorities.ali" being checked ... - -> "psl-priorities.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "psl-cse.ali" being checked ... + -> "psl-cse.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb - "grt-table.ali" being checked ... - -> "grt-table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb @@ -5987,27 +6014,33 @@ "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb - "vhdl-elocations_meta.ali" being checked ... - -> "vhdl-elocations_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb - "psl-subsets.ali" being checked ... - -> "psl-subsets.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb - "vhdl-sem_types.ali" being checked ... - -> "vhdl-sem_types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb - "psl-cse.ali" being checked ... - -> "psl-cse.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb + "psl-prints.ali" being checked ... + -> "psl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb + "grt-table.ali" being checked ... + -> "grt-table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb + "psl-subsets.ali" being checked ... + -> "psl-subsets.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb + "vhdl-elocations_meta.ali" being checked ... + -> "vhdl-elocations_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb + "vhdl-sem_types.ali" being checked ... + -> "vhdl-sem_types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb @@ -6017,6 +6050,9 @@ "synth-static_oper.ali" being checked ... -> "synth-static_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-static_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-static_oper.adb + "psl-priorities.ali" being checked ... + -> "psl-priorities.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/pic/synth-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads @@ -6053,1403 +6089,1408 @@ ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ -/usr/bin/make -j15 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build +/usr/bin/make -j16 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' -mkdir -p -- ./libiberty mkdir -p -- ./fixincludes +mkdir -p -- ./libiberty +Configuring in ./fixincludes mkdir -p -- ./intl mkdir -p -- ./lto-plugin -mkdir -p -- build-x86_64-linux-gnu/fixincludes -mkdir -p -- build-x86_64-linux-gnu/libiberty -mkdir -p -- ./libbacktrace -mkdir -p -- build-x86_64-linux-gnu/libcpp -mkdir -p -- ./libcody -Configuring in ./fixincludes Configuring in ./libiberty +Configuring in ./intl +Configuring in ./lto-plugin +mkdir -p -- build-x86_64-linux-gnu/libiberty Configuring in build-x86_64-linux-gnu/libiberty -Configuring in build-x86_64-linux-gnu/libcpp +mkdir -p -- build-x86_64-linux-gnu/fixincludes Configuring in build-x86_64-linux-gnu/fixincludes -Configuring in ./intl +mkdir -p -- build-x86_64-linux-gnu/libcpp +Configuring in build-x86_64-linux-gnu/libcpp +mkdir -p -- ./libbacktrace +mkdir -p -- ./libcody mkdir -p -- ./libdecnumber -Configuring in ./lto-plugin -mkdir -p -- ./c++tools -Configuring in ./libcody Configuring in ./libbacktrace +Configuring in ./libcody Configuring in ./libdecnumber +mkdir -p -- ./c++tools Configuring in ./c++tools configure: creating cache ./config.cache +checking build system type... configure: creating cache ./config.cache +checking whether to enable maintainer-specific portions of Makefiles... configure: creating cache ./config.cache +checking for x86_64-linux-gnu-gcc... no +checking for makeinfo... x86_64-linux-gnu-gcc-12 +makeinfo --split-size=5000000 configure: creating cache ./config.cache +x86_64-pc-linux-gnu +checking host system type... checking build system type... x86_64-pc-linux-gnu +checking target system type... configure: creating cache ./config.cache +x86_64-pc-linux-gnu +checking host system type... x86_64-pc-linux-gnu +checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +checking build system type... configure: creating cache ./config.cache configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 configure: creating cache ./config.cache -checking whether to enable maintainer-specific portions of Makefiles... no -checking for makeinfo... makeinfo --split-size=5000000 -checking build system type... configure: creating cache ./config.cache -checking build system type... configure: creating cache ./config.cache -checking whether /usr/bin/make sets $(MAKE)... x86_64-pc-linux-gnu -checking host system type... configure: creating cache ./config.cache -checking build system type... checking for x86_64-linux-gnu-gcc... checking build system type... configure: creating cache ./config.cache -x86_64-linux-gnu-gcc-12 -x86_64-pc-linux-gnu -checking host system type... configure: creating cache ./config.cache -configure: creating cache ./config.cache x86_64-pc-linux-gnu -checking maintainer-mode... -yes +checking target system type... checking build system type... configure: creating cache ./config.cache x86_64-pc-linux-gnu -checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +checking host system type... checking build system type... configure: creating cache ./config.cache +checking whether /usr/bin/make sets $(MAKE)... x86_64-pc-linux-gnu +checking whether /usr/bin/make sets $(MAKE)... checking build system type... x86_64-pc-linux-gnu +checking host system type... checking build system type... checking whether the C compiler works... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu -checking host system type... checking build system type... x86_64-pc-linux-gnu -checking target system type... checking build system type... x86_64-pc-linux-gnu -checking target system type... checking build system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu -x86_64-pc-linux-gnu -checking host system type... checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu -checking whether /usr/bin/make sets $(MAKE)... x86_64-pc-linux-gnu x86_64-pc-linux-gnu -checking host system type... checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +checking host system type... x86_64-pc-linux-gnu +checking target system type... checking for a BSD-compatible install... yes +/usr/bin/install -c +checking whether build environment is sane... checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu -checking target system type... x86_64-pc-linux-gnu checking target system type... yes checking for a BSD-compatible install... /usr/bin/install -c checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu +checking maintainer-mode... +x86_64-pc-linux-gnu +checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 x86_64-pc-linux-gnu -checking whether the C compiler works... checking for a BSD-compatible install... /usr/bin/install -c checking for a BSD-compatible install... /usr/bin/install -c -checking whether build environment is sane... x86_64-pc-linux-gnu +yes +x86_64-pc-linux-gnu checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -checking whether the C compiler works... yes -checking whether the C++ compiler works... checking for a thread-safe mkdir -p... /bin/mkdir -p +checking whether the C compiler works... checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk -checking whether /usr/bin/make sets $(MAKE)... checking whether the C compiler works... checking whether the C compiler works... yes -checking whether the C compiler works... checking whether /usr/bin/make supports nested variables... yes -checking whether the C compiler works... checking whether the C++ compiler works... checking whether to enable maintainer-specific portions of Makefiles... no -checking for style of include used by /usr/bin/make... yes +checking whether /usr/bin/make sets $(MAKE)... checking whether the C compiler works... yes +checking whether the C compiler works... checking whether the C++ compiler works... yes checking for C compiler default output file name... a.out -checking for suffix of executables... GNU +checking whether the C compiler works... checking whether /usr/bin/make supports nested variables... checking whether the C++ compiler works... checking whether the C compiler works... checking for suffix of executables... checking for perl... perl yes checking for C compiler default output file name... a.out -checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -checking for suffix of executables... yes -checking for C++ compiler default output file name... a.out -checking for suffix of executables... yes -checking for perl... checking for perl... checking for C compiler default output file name... a.out -perl -perl -checking for suffix of executables... checking build system type... checking build system type... yes -checking for C compiler default output file name... a.out -checking for suffix of executables... yes -x86_64-pc-linux-gnu -checking host system type... checking for C compiler default output file name... a.out -x86_64-pc-linux-gnu yes -checking host system type... checking for C compiler default output file name... a.out -checking for suffix of executables... checking for suffix of executables... checking whether the C compiler works... x86_64-pc-linux-gnu +checking for suffix of executables... checking build system type... x86_64-pc-linux-gnu +checking host system type... checking for perl... perl +checking whether to enable maintainer-specific portions of Makefiles... no +checking for style of include used by /usr/bin/make... x86_64-pc-linux-gnu checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -x86_64-pc-linux-gnu checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking for x86_64-linux-gnu-ranlib... checking whether to install libiberty headers and static library... x86_64-linux-gnu-ranlib -no -configure: target_header_dir = checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +checking build system type... GNU checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +yes +checking for C compiler default output file name... a.out -checking whether we are cross compiling... yes +x86_64-pc-linux-gnu +checking host system type... checking for suffix of executables... yes +checking for C compiler default output file name... a.out +checking whether we are cross compiling... checking for suffix of executables... yes checking for C++ compiler default output file name... a.out -checking for suffix of executables... -checking whether we are cross compiling... - -checking whether we are cross compiling... checking whether we are cross compiling... +yes +checking for C++ compiler default output file name... a.out +checking for suffix of executables... x86_64-pc-linux-gnu +checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar +checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib +checking whether to install libiberty headers and static library... no +configure: target_header_dir = +checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +checking for suffix of executables... yes +checking for C compiler default output file name... a.out -checking whether we are cross compiling... checking whether we are cross compiling... yes +checking for suffix of executables... yes checking for C compiler default output file name... a.out -checking for suffix of executables... -checking whether we are cross compiling... +checking for suffix of executables... checking whether we are cross compiling... +checking whether we are cross compiling... checking whether the C compiler works... checking whether we are cross compiling... no -checking for suffix of object files... no -checking for suffix of object files... no + checking for suffix of object files... -no -checking whether we are cross compiling... checking for suffix of object files... checking whether the C compiler works... no -checking whether the C compiler works... checking for suffix of object files... no -checking for suffix of object files... o -checking whether we are using the GNU C compiler... o -checking whether we are using the GNU C compiler... no +checking whether we are cross compiling... +checking whether we are cross compiling... checking whether we are cross compiling... +checking whether we are cross compiling... no +checking for suffix of object files... yes +checking for C compiler default output file name... a.out +checking for suffix of executables... o +checking whether we are using the GNU C compiler... checking whether the C compiler works... checking whether the C compiler works... no o -checking whether we are using the GNU C compiler... checking for suffix of object files... o -checking whether we are using the GNU C++ compiler... o -checking whether we are using the GNU C compiler... yes +checking whether we are using the GNU C compiler... checking for suffix of object files... no +checking for suffix of object files... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... no -checking for suffix of object files... o +no + +checking for suffix of object files... checking for suffix of object files... checking whether we are cross compiling... no +no +checking for suffix of object files... checking for suffix of object files... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... o checking whether we are using the GNU C compiler... yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... o +o +checking whether we are using the GNU C compiler... checking whether we are using the GNU C compiler... yes checking for C compiler default output file name... a.out -checking for suffix of executables... yes +yes checking for C compiler default output file name... a.out -checking for suffix of executables... yes +o +checking whether we are using the GNU C++ compiler... o +checking whether we are using the GNU C++ compiler... checking for suffix of executables... checking for suffix of executables... yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... no -checking for suffix of object files... o -checking whether we are using the GNU C compiler... yes -checking whether x86_64-linux-gnu-g++-12 accepts -g... yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes +o +checking whether we are using the GNU C compiler... checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... no yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... checking whether x86_64-linux-gnu-gcc-12 accepts -g... o -checking whether we are using the GNU C++ compiler... yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... o -checking whether we are using the GNU C compiler... yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking for suffix of object files... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... +checking whether we are cross compiling... yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes +checking whether x86_64-linux-gnu-g++-12 accepts -g... none needed +checking how to run the C preprocessor... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes -checking whether x86_64-linux-gnu-g++-12 is for C++11... -checking whether we are cross compiling... yes -checking whether we are cross compiling... checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes -checking whether x86_64-linux-gnu-g++-12 accepts -g... yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... none needed -checking how to run the C preprocessor... none needed -checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... none needed -checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking for aclocal... aclocal +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... checking whether x86_64-linux-gnu-g++-12 accepts -g... none needed +checking how to run the C preprocessor... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... +checking whether we are cross compiling... o +checking whether we are using the GNU C compiler... yes checking for autoconf... autoconf checking for autoheader... autoheader -yes -yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... none needed -checking how to run the C preprocessor... checking for autoconf... autoconf -checking for autoheader... autoheader checking whether to build C++ tools... yes -checking maintainer-mode... checking whether x86_64-linux-gnu-gcc-12 supports -W... no -checking for O_CLOEXEC... adding -std=c++11 -checking adding -Wl,--no-undefined to linker... none needed -checking how to run the C preprocessor... no -checking for suffix of object files... none needed -no -x86_64-linux-gnu-gcc-12 -E -checking for suffix of object files... yes -yes -checking how to run the C preprocessor... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... x86_64-linux-gnu-gcc-12 -E +checking maintainer-mode... no +checking for O_CLOEXEC... yes +checking whether x86_64-linux-gnu-g++-12 is for C++11... none needed +checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E none needed -checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... yes +checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib +checking for x86_64-linux-gnu-ar... yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... x86_64-linux-gnu-ar +none needed +checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... checking for aclocal... aclocal +checking for autoconf... autoconf +checking for autoheader... autoheader +no +checking for suffix of object files... x86_64-linux-gnu-gcc-12 -E +checking whether x86_64-linux-gnu-gcc-12 supports -W... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking how to run the C++ preprocessor... x86_64-linux-gnu-gcc-12 -E +no +checking for suffix of object files... yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes +checking for grep that handles long lines and -e... none needed o -checking whether we are using the GNU C compiler... checking whether we are using the GNU C++ compiler... yes -o -checking whether we are using the GNU C compiler... ok -checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking exceptions... no -checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -x86_64-linux-gnu-gcc-12 -E -checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... /bin/grep -checking for egrep... /bin/grep +checking whether we are using the GNU C compiler... adding -std=c++11 +/bin/grep checking for egrep... yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... /bin/grep -E -checking for ANSI C header files... /bin/grep -E -checking for ANSI C header files... yes -checking whether x86_64-linux-gnu-g++-12 accepts -g... yes -yes -checking dependency style of x86_64-linux-gnu-gcc-12... x86_64-linux-gnu-g++-12 -E -yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... configure: updating cache ./config.cache -checking for grep that handles long lines and -e... /bin/grep +checking how to run the C preprocessor... /bin/grep -E +checking for ANSI C header files... checking adding -Wl,--no-undefined to linker... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E -checking for ANSI C header files... configure: creating ./config.status +checking for ANSI C header files... o +checking whether we are using the GNU C compiler... x86_64-linux-gnu-g++-12 -E checking for grep that handles long lines and -e... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes +/bin/grep +checking for egrep... none needed +checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... /bin/grep -E +checking for ANSI C header files... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether we are using the GNU C++ compiler... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... x86_64-linux-gnu-gcc-12 -E +yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... ok +yes +checking exceptions... no checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking how to run the C preprocessor... /bin/grep -checking for egrep... yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... /bin/grep -E -checking for ANSI C header files... yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... checking for grep that handles long lines and -e... /bin/grep -checking for egrep... gcc3 -checking how to run the C preprocessor... /bin/grep -E -checking for ANSI C header files... x86_64-linux-gnu-gcc-12 -E yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... none needed -checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E -none needed -checking how to run the C preprocessor... yes -checking for grep that handles long lines and -e... x86_64-linux-gnu-gcc-12 -E -checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... /bin/grep +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes +checking whether x86_64-linux-gnu-g++-12 accepts -g... checking for grep that handles long lines and -e... yes +checking dependency style of x86_64-linux-gnu-gcc-12... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... /bin/grep checking for egrep... /bin/grep -E -checking for ANSI C header files... config.status: creating Makefile -checking for grep that handles long lines and -e... /bin/grep +checking for ANSI C header files... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E -checking for ANSI C header files... x86_64-linux-gnu-gcc-12 -E +checking for ANSI C header files... configure: updating cache ./config.cache +none needed +checking how to run the C preprocessor... yes +checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib +checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar +checking how to run the C preprocessor... none needed +checking how to run the C preprocessor... configure: creating ./config.status yes -config.status: creating config.h -checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... yes -checking for grep that handles long lines and -e... checking for sys/types.h... /bin/grep -checking for egrep... yes -/bin/grep -E -checking for sys/types.h... checking for ANSI C header files... yes -checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... checking for grep that handles long lines and -e... /bin/grep +checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... gcc3 +checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E +x86_64-linux-gnu-gcc-12 -E +yes +x86_64-linux-gnu-gcc-12 -E +checking for sys/types.h... yes +x86_64-linux-gnu-gcc-12 -E +checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes +yes +checking for sys/types.h... checking for sys/types.h... yes +checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... /bin/grep +checking for egrep... /bin/grep +checking for egrep... /bin/grep checking for egrep... /bin/grep -E +checking for ANSI C header files... /bin/grep -E +checking for ANSI C header files... /bin/grep -E checking for ANSI C header files... yes +checking for grep that handles long lines and -e... /bin/grep +checking for egrep... /bin/grep -E +checking for ANSI C header files... checking for sys/stat.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... yes yes +checking for sys/stat.h... yes yes +checking for sys/stat.h... yes +checking for sys/types.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... checking for stdlib.h... yes checking for sys/types.h... yes -checking for sys/types.h... checking for sys/stat.h... yes -checking for sys/stat.h... checking whether x86_64-linux-gnu-gcc-12 supports -fno-lto... yes -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' yes -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc yes -checking how to run the C preprocessor... checking for sys/stat.h... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... checking for stdlib.h... yes +checking for stdlib.h... yes +config.status: creating Makefile +checking for sys/stat.h... yes yes -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc +checking for string.h... checking whether x86_64-linux-gnu-gcc-12 supports -fno-lto... yes +config.status: creating config.h +checking for sys/stat.h... yes yes -checking for sys/stat.h... checking for stdlib.h... yes +checking for string.h... yes +checking for string.h... yes yes yes -checking for stdlib.h... checking for sys/types.h... checking for stdlib.h... checking for sys/types.h... x86_64-linux-gnu-gcc-12 -E +checking for sys/types.h... checking for stdlib.h... yes +checking for sys/types.h... checking how to run the C preprocessor... checking for sys/types.h... yes +checking for memory.h... checking for sys/types.h... yes yes yes -checking for sys/types.h... yes yes -checking for stdlib.h... yes yes -checking for string.h... checking for string.h... checking for sys/stat.h... yes -checking for grep that handles long lines and -e... checking for sys/types.h... yes yes -/bin/grep -checking for egrep... checking for string.h... /bin/grep -E -checking for ANSI C header files... yes yes -checking for sys/types.h... checking for sys/stat.h... checking for string.h... checking for sys/stat.h... yes +checking for memory.h... yes +checking for stdlib.h... checking for sys/stat.h... checking for string.h... x86_64-linux-gnu-gcc-12 -E +checking for sys/stat.h... checking for memory.h... checking for sys/stat.h... yes +checking for strings.h... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' yes +x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc +checking for sys/stat.h... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc yes yes -checking for stdlib.h... checking for memory.h... yes +x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc yes -checking for memory.h... yes -checking for memory.h... checking for sys/stat.h... yes -checking for sys/stat.h... checking for memory.h... yes +x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc yes -checking for stdlib.h... checking for stdlib.h... checking for string.h... yes +checking for strings.h... yes +x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc +checking for grep that handles long lines and -e... checking for stdlib.h... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc +checking for memory.h... /bin/grep +checking for egrep... /bin/grep -E +checking for ANSI C header files... checking for stdlib.h... yes +checking for strings.h... checking for stdlib.h... yes yes yes -checking for strings.h... yes -checking for strings.h... checking for strings.h... yes +checking for inttypes.h... yes +checking for string.h... checking for stdlib.h... checking for inttypes.h... checking for string.h... yes yes yes -checking for strings.h... checking for stdlib.h... checking for string.h... checking for stdlib.h... yes yes +checking for inttypes.h... yes +checking for string.h... yes +checking for string.h... checking for strings.h... yes yes yes +checking for stdint.h... checking for string.h... checking for memory.h... checking for stdint.h... yes yes -checking for string.h... checking for memory.h... checking for inttypes.h... yes -checking for inttypes.h... checking for inttypes.h... yes -checking for string.h... yes +checking for memory.h... yes +checking for stdint.h... yes +checking for memory.h... yes yes +checking for memory.h... checking for unistd.h... checking for inttypes.h... yes yes -checking for inttypes.h... checking for memory.h... yes -checking for sys/types.h... yes +checking for memory.h... yes +checking for strings.h... checking for unistd.h... yes yes -checking for string.h... checking for stdint.h... yes yes -checking for strings.h... checking for stdint.h... checking for stdint.h... checking for memory.h... yes +checking for sys/types.h... yes +checking for strings.h... yes yes yes +checking minix/config.h usability... checking for unistd.h... checking for strings.h... checking for strings.h... yes yes +checking for strings.h... yes +checking for inttypes.h... checking for stdint.h... checking minix/config.h usability... yes +checking for inttypes.h... no +checking minix/config.h presence... checking for sys/stat.h... yes yes -checking for stdint.h... checking for sys/stat.h... checking for strings.h... checking for unistd.h... checking for memory.h... yes yes yes +no +checking for minix/config.h... no +checking whether it is safe to define __EXTENSIONS__... yes +checking for stdint.h... no +checking minix/config.h presence... checking minix/config.h usability... yes +checking for inttypes.h... checking for inttypes.h... yes +checking for unistd.h... checking for stdint.h... checking for inttypes.h... no +checking for minix/config.h... no +checking whether it is safe to define __EXTENSIONS__... yes yes -checking for inttypes.h... checking for strings.h... yes -checking for unistd.h... checking for memory.h... yes yes -checking for unistd.h... yes yes -checking for unistd.h... checking minix/config.h usability... checking for inttypes.h... yes yes +checking whether /usr/bin/make sets $(MAKE)... checking for stdlib.h... checking for stdint.h... checking for stdint.h... yes yes -checking for stdint.h... checking for stdlib.h... checking for inttypes.h... yes yes -checking for strings.h... checking for strings.h... yes no -checking minix/config.h presence... checking minix/config.h usability... yes -checking minix/config.h usability... yes -checking for stdint.h... yes +checking minix/config.h presence... checking for a BSD-compatible install... /usr/bin/install -c +checking whether NLS is requested... yes +checking for unistd.h... checking for msgfmt... checking minix/config.h usability... yes +/usr/bin/msgfmt +checking for gmsgfmt... /usr/bin/msgfmt yes -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ - -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc -checking minix/config.h usability... no -checking for string.h... checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... checking for unistd.h... yes +checking for a sed that does not truncate output... checking for unistd.h... yes +/bin/sed +no +checking for minix/config.h... no +checking whether it is safe to define __EXTENSIONS__... checking for xgettext... checking for stdint.h... yes +checking whether x86_64-linux-gnu-gcc-12 supports -W... yes +checking for string.h... checking minix/config.h usability... yes +checking for unistd.h... yes yes +checking for unistd.h... no +checking minix/config.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking minix/config.h usability... yes yes -checking for stdint.h... yes -checking for inttypes.h... no -checking minix/config.h presence... checking for inttypes.h... no -checking minix/config.h presence... yes yes -checking for unistd.h... yes -checking for a sed that does not truncate output... checking minix/config.h usability... /bin/sed +checking for a sed that does not truncate output... /bin/sed no -checking minix/config.h presence... checking for memory.h... checking whether x86_64-linux-gnu-gcc-12 supports -W... no +checking minix/config.h presence... /usr/bin/xgettext +checking for memory.h... no checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... no -checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... yes +checking whether it is safe to define __EXTENSIONS__... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking for unistd.h... yes yes -x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ - -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc -checking for unistd.h... yes +checking for msgmerge... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes +checking minix/config.h usability... no +checking minix/config.h presence... /usr/bin/msgmerge no checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... checking for stdint.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... no -checking minix/config.h presence... yes -checking minix/config.h usability... yes -yes -checking for stdint.h... yes -checking for a sed that does not truncate output... /bin/sed +checking whether it is safe to define __EXTENSIONS__... checking minix/config.h usability... yes +x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ + -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes no -checking whether /usr/bin/make sets $(MAKE)... checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... checking for strings.h... checking whether x86_64-linux-gnu-gcc-12 supports -W... yes -yes -yes -checking minix/config.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes -checking for a BSD-compatible install... /usr/bin/install -c -checking whether NLS is requested... yes +checking for minix/config.h... no +checking whether it is safe to define __EXTENSIONS__... checking build system type... checking for strings.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... x86_64-pc-linux-gnu +checking host system type... yes yes -checking for msgfmt... yes -checking for a BSD-compatible install... /usr/bin/install -c -checking whether build environment is sane... checking for unistd.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes no -checking minix/config.h presence... /usr/bin/msgfmt -checking for gmsgfmt... /usr/bin/msgfmt -yes +checking minix/config.h presence... x86_64-pc-linux-gnu +checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib +checking for library containing strerror... yes +checking for special C compiler options needed for large files... no +checking for _FILE_OFFSET_BITS value needed for large files... yes +checking for a BSD-compatible install... /usr/bin/install -c +checking whether build environment is sane... checking sys/mman.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... no +checking minix/config.h presence... yes yes yes -checking for special C compiler options needed for large files... checking for xgettext... no -checking for _FILE_OFFSET_BITS value needed for large files... checking for a thread-safe mkdir -p... /bin/mkdir -p -checking for gawk... gawk -checking whether /usr/bin/make sets $(MAKE)... /usr/bin/xgettext -checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes -checking for inttypes.h... no +checking for special C compiler options needed for large files... no +checking for _FILE_OFFSET_BITS value needed for large files... yes +checking for a thread-safe mkdir -p... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no -checking minix/config.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes -checking for msgmerge... yes +/bin/mkdir -p +checking for gawk... gawk +checking whether /usr/bin/make sets $(MAKE)... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes +no +checking for minix/config.h... no +checking whether it is safe to define __EXTENSIONS__... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking for inttypes.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... x86_64-linux-gnu-g++-12 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ + -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc no -checking whether /usr/bin/make supports nested variables... /usr/bin/msgmerge +yes checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader +checking whether /usr/bin/make supports nested variables... yes yes -no -checking for minix/config.h... no -checking whether it is safe to define __EXTENSIONS__... checking for unistd.h... yes -checking minix/config.h usability... yes -yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... checking whether to enable maintainer-specific portions of Makefiles... no +none required +checking for an ANSI C-conforming const... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking whether to enable maintainer-specific portions of Makefiles... no checking for x86_64-linux-gnu-gcc... (cached) x86_64-linux-gnu-gcc-12 -checking whether x86_64-linux-gnu-gcc-12 supports -W... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes yes yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes checking for x86_64-linux-gnu-gcc... (cached) x86_64-linux-gnu-gcc-12 -checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes yes -checking build system type... checking for stdint.h... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes +checking sys/mman.h presence... yes +checking for inline... yes checking for special C compiler options needed for large files... no -checking for _FILE_OFFSET_BITS value needed for large files... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether we are using the GNU C compiler... (cached) yes +checking for _FILE_OFFSET_BITS value needed for large files... yes +yes +checking for stdint.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether we are using the GNU C compiler... (cached) yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... (cached) yes +yes +checking for sys/mman.h... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... (cached) none needed -checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... (cached) yes +checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... yes +(cached) yes checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib checking for gawk... (cached) gawk checking for dwz... dwz -checking how to print strings... no -checking minix/config.h presence... x86_64-pc-linux-gnu -checking host system type... printf -checking for a sed that does not truncate output... /bin/sed -x86_64-pc-linux-gnu -checking for fgrep... checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking for library containing strerror... yes -/bin/grep -F -checking for ld used by x86_64-linux-gnu-gcc-12... yes -x86_64-linux-gnu-ld -checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes -checking whether we are using the GNU C compiler... no -(cached) checking for minix/config.h... yes -no +checking for AF_UNIX... checking how to print strings... inline +checking for off_t... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... printf +checking for a sed that does not truncate output... no +/bin/sed +checking for fgrep... checking whether we are using the GNU C compiler... (cached) yes checking whether x86_64-linux-gnu-gcc-12 accepts -g... (cached) yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... checking whether it is safe to define __EXTENSIONS__... (cached) none needed +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... (cached) none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... (cached) yes checking dependency style of x86_64-linux-gnu-gcc-12... (cached) gcc3 -no checking for special C compiler options needed for large files... no -checking for _FILE_OFFSET_BITS value needed for large files... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... yes +checking for _FILE_OFFSET_BITS value needed for large files... /bin/grep -F +checking for ld used by x86_64-linux-gnu-gcc-12... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes +x86_64-linux-gnu-ld +checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes +checking whether x86_64-linux-gnu-gcc-12 supports -W... yes +yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm -checking the name lister (x86_64-linux-gnu-nm) interface... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes -checking whether x86_64-linux-gnu-gcc-12 supports -W... checking sys/mman.h usability... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wnarrowing... yes -checking for unistd.h... yes -no +checking the name lister (x86_64-linux-gnu-nm) interface... checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wnarrowing... yes +checking for unistd.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... no yes -checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes yes -checking for special C compiler options needed for large files... no -checking for _FILE_OFFSET_BITS value needed for large files... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... none required -checking for an ANSI C-conforming const... BSD nm -checking whether ln -s works... yes +checking for AF_INET6... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wall... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes +yes +yes +BSD nm +checking whether ln -s works... checking for -static-libgcc... yes checking the maximum length of command line arguments... yes -1572864 -checking whether the shell understands some XSI constructs... yes +checking for ANSI C header files... (cached) yes +checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... 1572864 +checking whether the shell understands some XSI constructs... checking stddef.h usability... yes yes -checking whether the shell understands "+="... checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes -checking for x86_64-linux-gnu-ld option to reload object files... -r -checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump +checking whether the shell understands "+="... yes yes +checking ctype.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking for x86_64-linux-gnu-ld option to reload object files... -r +checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking for x86_64-linux-gnu-strip... yes -x86_64-linux-gnu-strip +checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... (cached) x86_64-linux-gnu-ranlib -checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... no -checking for -static-libgcc... checking whether x86_64-linux-gnu-gcc-12 supports -Woverlength-strings... yes -checking whether x86_64-linux-gnu-gcc-12 supports -W... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes -checking sys/mman.h presence... yes -yes -checking for inline... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... yes -checking ctype.h usability... checking for ANSI C header files... (cached) yes +checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... yes +checking for epoll... yes yes +checking for size_t... yes +checking stddef.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... yes yes -checking for sys/mman.h... yes +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes +checking for CET support... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes +checking for stddef.h... yes yes -checking for AF_UNIX... checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes -checking stddef.h usability... inline -checking for off_t... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes yes -checking for CET support... checking for ANSI C header files... (cached) yes -checking stddef.h usability... yes +checking for pselect... yes +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking for stdlib.h... (cached) yes yes checking ctype.h presence... yes -checking for AF_INET6... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes +checking for ANSI C header files... (cached) yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... checking stddef.h usability... yes checking for ctype.h... yes +checking for strings.h... (cached) yes yes yes -checking stddef.h presence... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes -yes -checking for stddef.h... yes -checking stddef.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes +checking for select... checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... yes +checking for unistd.h... (cached) yes +checking stddef.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes +checking fcntl.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... yes checking stddef.h presence... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... checking for stdlib.h... (cached) yes -yes -checking for epoll... yes +checking for accept4... yes +checking for working alloca.h... yes checking for stddef.h... yes yes -checking for strings.h... (cached) yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... ok -yes -checking for unistd.h... (cached) yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes -checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... checking fcntl.h usability... checking for dlfcn.h... checking for stdlib.h... (cached) yes yes -checking for size_t... yes -checking stddef.h presence... yes -checking for strings.h... (cached) yes +checking stddef.h presence... ok yes -checking for pselect... yes -checking for unistd.h... (cached) yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking for stdlib.h... (cached) yes +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... yes checking for stddef.h... yes yes -checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... checking whether x86_64-linux-gnu-gcc-12 supports -Wshadow=local... checking fcntl.h usability... checking for string.h... (cached) yes +checking for alloca... yes +checking fcntl.h presence... checking for strings.h... (cached) yes +yes +checking for inet_ntop... checking for string.h... (cached) yes +checking for unistd.h... (cached) yes yes -checking fcntl.h presence... yes -checking for select... checking stdio.h usability... yes -checking whether x86_64-linux-gnu-gcc-12 supports -pedantic ... yes -checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes checking for fcntl.h... yes yes -checking for objdir... .libs -checking sys/file.h usability... yes +checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... yes +checking for an ANSI C-conforming const... yes +checking stdio.h usability... checking fcntl.h usability... checking sys/file.h usability... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... checking for stdlib.h... (cached) yes yes -checking whether x86_64-linux-gnu-gcc-12 and cc understand -c and -o together... checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... yes -checking for accept4... yes -checking fcntl.h presence... yes -checking whether symbol versioning is supported... yes -checking for fcntl.h... yes +checking for inline... checking for unistd.h... (cached) yes yes +checking for library containing gethostbyname... checking for dlfcn.h... checking for sys/param.h... yes checking stdio.h presence... yes -checking sys/file.h usability... checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... yes +checking fcntl.h presence... yes +inline +checking whether byte ordering is bigendian... checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes +checking sys/file.h presence... yes +checking whether symbol versioning is supported... yes checking for stdio.h... yes yes -checking sys/file.h presence... yes -checking for working alloca.h... yes -checking for inet_ntop... looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes -checking for an ANSI C-conforming const... yes +checking for fcntl.h... yes yes checking for sys/file.h... yes -checking for sys/stat.h... (cached) yes -gnu -checking how to print strings... printf -checking for a sed that does not truncate output... /bin/sed -checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... checking for fgrep... checking for clearerr_unlocked... /bin/grep -F -checking for ld used by x86_64-linux-gnu-gcc-12... checking dependency style of x86_64-linux-gnu-g++-12... x86_64-linux-gnu-ld +looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes +checking sys/file.h usability... none required +checking for library containing socket... checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... checking for sys/stat.h... (cached) yes +yes +checking for objdir... gnu +checking how to print strings... .libs +yes +yes +printf +checking for a sed that does not truncate output... checking for clearerr_unlocked... /bin/sed +checking for fgrep... /bin/grep -F +checking for ld used by x86_64-linux-gnu-gcc-12... checking for getpagesize... checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes -checking for inline... yes -checking sys/file.h presence... yes +checking for an ANSI C-conforming const... yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm checking the name lister (x86_64-linux-gnu-nm) interface... yes -checking for library containing gethostbyname... yes -checking for alloca... yes +checking sys/file.h presence... yes +checking for uintptr_t... none required +yes checking for sys/file.h... yes -inline -checking whether byte ordering is bigendian... no -checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC -checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes -checking for uintptr_t... checking for sys/stat.h... (cached) yes yes -checking for an ANSI C-conforming const... checking for clearerr_unlocked... BSD nm +yes +checking for inline... no +checking for a BSD-compatible install... /usr/bin/install -c +checking for CET support... yes +checking for working mmap... checking for sys/stat.h... (cached) yes +yes +inline +checking whether byte ordering is bigendian... BSD nm checking whether ln -s works... yes -checking the maximum length of command line arguments... yes -checking if x86_64-linux-gnu-gcc-12 static flag -static works... gcc3 +checking the maximum length of command line arguments... checking dependency style of x86_64-linux-gnu-g++-12... checking for clearerr_unlocked... configure: updating cache ./config.cache +checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... 1572864 +checking whether the shell understands some XSI constructs... checking for feof_unlocked... configure: creating ./config.status yes -checking whether time.h and sys/time.h may both be included... yes -checking for inline... 1572864 -checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes yes -none required -checking for library containing socket... checking for stdlib.h... (cached) yes -checking for x86_64-linux-gnu-ld option to reload object files... -r +checking for int_least32_t... checking for x86_64-linux-gnu-ld option to reload object files... -r checking for x86_64-linux-gnu-objdump... x86_64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking for x86_64-linux-gnu-strip... checking for feof_unlocked... x86_64-linux-gnu-strip +checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... checking for unistd.h... (cached) yes -inline -checking whether byte ordering is bigendian... checking for sys/param.h... yes -checking for int_least32_t... yes -yes +checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... no +checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC +checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes x86_64-linux-gnu-ar -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o -checking whether string.h and strings.h may both be included... checking for feof_unlocked... yes +checking for feof_unlocked... gcc3 +checking whether time.h and sys/time.h may both be included... yes yes -none required -no -checking for a BSD-compatible install... checking locale.h usability... /usr/bin/install -c -checking for CET support... x86_64-linux-gnu-ranlib libcody.a +checking if x86_64-linux-gnu-gcc-12 static flag -static works... x86_64-linux-gnu-ranlib libcody.a +checking for ferror_unlocked... yes +checking for int_fast32_t... no +checking for a BSD-compatible install... /usr/bin/install -c +checking for CET support... yes +checking whether string.h and strings.h may both be included... yes +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' +checking whether we are using the GNU C Library 2.1 or newer... yes yes -checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... checking for ferror_unlocked... yes -checking for getpagesize... yes -checking for int_fast32_t... configure: updating cache ./config.cache +checking whether integer division by zero raises SIGFPE... yes +checking for ferror_unlocked... checking locale.h usability... yes +checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... ok yes -configure: creating ./config.status -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' -checking for ferror_unlocked... yes -checking locale.h presence... ok yes +checking for uint64_t... checking for fflush_unlocked... checking for dlfcn.h... yes +yes +yes +checking locale.h presence... checking for sys/file.h... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes -checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... no -checking for a BSD-compatible install... /usr/bin/install -c -checking for CET support... yes +checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... checking for fflush_unlocked... yes yes checking for locale.h... yes +config.status: creating Makefile +checking for inttypes.h... yes yes -checking whether -lc should be explicitly linked in... checking for fflush_unlocked... yes -checking for working mmap... checking for dlfcn.h... checking fcntl.h usability... yes -checking for uint64_t... yes -checking for fflush_unlocked... no -checking dynamic linker characteristics... yes -checking fcntl.h presence... yes +checking what to include in gstdint.h... stdint.h (already complete) +checking for an ANSI C-conforming const... yes yes -checking for objdir... .libs +checking whether -lc should be explicitly linked in... yes +checking fcntl.h usability... checking for objdir... checking for sys/param.h... .libs +config.status: creating config.h checking for fgetc_unlocked... yes +checking for stdint.h... yes +checking for off_t... yes +yes +no +checking dynamic linker characteristics... yes +checking fcntl.h presence... checking for fgetc_unlocked... checking for limits.h... yes +checking for unsigned long long... yes checking for fcntl.h... yes -config.status: creating Makefile yes -checking what to include in gstdint.h... yes -stdint.h (already complete) -checking for an ANSI C-conforming const... checking limits.h usability... checking for fgetc_unlocked... config.status: creating config.h yes -checking for off_t... GNU/Linux ld.so +yes +checking limits.h usability... checking for sys/file.h... checking for stdlib.h... (cached) yes +checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... checking for fgets_unlocked... yes +yes +checking for malloc.h... yes +checking for inttypes.h... checking for sys/param.h... yes +checking limits.h presence... yes +checking for fgets_unlocked... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate -checking whether stripping libraries is possible... yes +checking whether stripping libraries is possible... no +checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC +checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes -yes checking for special C compiler options needed for large files... no -checking for _FILE_OFFSET_BITS value needed for large files... checking whether we are using the GNU C Library 2.1 or newer... yes +checking for _FILE_OFFSET_BITS value needed for large files... checking for string.h... (cached) yes yes +checking for limits.h... yes yes yes -checking limits.h presence... checking whether integer division by zero raises SIGFPE... checking for sys/file.h... checking for fgets_unlocked... yes -checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... no -checking for fgets_unlocked... checking unwind.h usability... yes -checking for limits.h... yes +checking size of int... yes +checking whether the inttypes.h PRIxNN macros are broken... checking for unistd.h... (cached) yes +checking stddef.h usability... checking for limits.h... no yes -checking for sys/param.h... checking stddef.h usability... no -checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC -checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes +checking unwind.h usability... yes +checking if x86_64-linux-gnu-gcc-12 static flag -static works... checking for strings.h... (cached) yes yes -checking for sys/file.h... yes -checking for limits.h... checking for fileno_unlocked... yes yes -checking if x86_64-linux-gnu-gcc-12 static flag -static works... yes +checking for fileno_unlocked... no +checking for ld used by GCC... checking for sys/time.h... checking for fileno_unlocked... x86_64-linux-gnu-ld +checking if the linker (x86_64-linux-gnu-ld) is GNU ld... checking for stdlib.h... (cached) yes yes -checking stddef.h presence... checking for fileno_unlocked... yes +checking for shared library run path origin... yes +checking stddef.h presence... checking for malloc.h... yes yes +checking for stddef.h... yes +checking for time.h... yes checking unwind.h presence... yes -checking for inttypes.h... checking for sys/param.h... checking for stdlib.h... (cached) yes +checking for stdlib.h... (cached) yes +done yes -checking size of int... yes -checking for stddef.h... yes yes checking for unwind.h... yes -checking for _Unwind_Backtrace... checking for malloc.h... checking for stdlib.h... (cached) yes +checking for _Unwind_Backtrace... yes yes +4 +checking size of long... checking for string.h... (cached) checking argz.h usability... yes +checking for strings.h... (cached) yes yes -checking for limits.h... checking for strings.h... (cached) yes -yes -checking for stdint.h... yes +checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... checking for fprintf_unlocked... checking for sys/resource.h... checking for fprintf_unlocked... checking for unistd.h... (cached) yes checking for string.h... (cached) yes -checking for string.h... (cached) yes -yes -checking for fprintf_unlocked... yes -checking for unistd.h... (cached) yes -checking sys/file.h usability... checking for stdlib.h... (cached) yes -checking for strings.h... checking for fprintf_unlocked... (cached) yes -yes -checking for -funwind-tables option... checking for malloc.h... checking for sys/time.h... yes -checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... yes -checking for unsigned long long... yes yes +checking for strings.h... (cached) yes +checking sys/file.h usability... yes +checking argz.h presence... yes +checking for -funwind-tables option... checking for sys/stat.h... (cached) yes +checking for sys/time.h... yes +checking for argz.h... yes yes -checking for -frandom-seed=string option... checking for time.h... yes -checking sys/file.h presence... 4 -checking for string.h... (cached) yes -checking size of long... yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes -checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... checking for unistd.h... (cached) yes -no -yes +checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... checking for sys/mman.h... no yes -checking for sys/file.h... yes +checking for -frandom-seed=string option... yes no -checking for fputc_unlocked... checking for fputc_unlocked... checking for strings.h... (cached) yes -checking for sys/resource.h... yes -checking whether -lc should be explicitly linked in... checking for unistd.h... (cached) yes +checking for fputc_unlocked... checking limits.h usability... yes yes -checking whether byte ordering is bigendian... yes -checking for inttypes.h... checking whether x86_64-linux-gnu-gcc-12 supports -W... checking for sys/time.h... yes +checking whether -lc should be explicitly linked in... checking for fputc_unlocked... yes +checking sys/file.h presence... 8 +checking for ANSI C header files... (cached) yes +checking for time.h... checking build system type... checking for fcntl.h... yes yes -checking for sys/stat.h... (cached) yes -checking for time.h... yes +checking for sys/file.h... yes +x86_64-pc-linux-gnu +checking host system type... yes +checking whether x86_64-linux-gnu-gcc-12 supports -W... x86_64-pc-linux-gnu +checking target system type... checking for unistd.h... (cached) yes yes -checking for sys/mman.h... checking whether the inttypes.h PRIxNN macros are broken... checking whether x86_64-linux-gnu-gcc-12 supports -Wall... no +no checking dynamic linker characteristics... yes +checking limits.h presence... x86_64-pc-linux-gnu +checking for decimal floating point... bid +checking whether byte ordering is bigendian... checking for sys/resource.h... checking whether byte ordering is bigendian... yes +checking for alloca.h... yes +checking for limits.h... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes yes yes yes -checking for fcntl.h... checking for fputs_unlocked... checking for sys/resource.h... checking for fputs_unlocked... no -yes -checking for ld used by GCC... 8 -checking for ANSI C header files... (cached) yes -x86_64-linux-gnu-ld -checking if the linker (x86_64-linux-gnu-ld) is GNU ld... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes -checking for shared library run path origin... checking build system type... yes -yes -x86_64-pc-linux-gnu -checking host system type... checking for alloca.h... checking for sys/stat.h... (cached) yes -x86_64-pc-linux-gnu -checking target system type... yes -checking for sys/mman.h... done -x86_64-pc-linux-gnu -checking for decimal floating point... bid -checking whether byte ordering is bigendian... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes +checking locale.h usability... checking for fputs_unlocked... checking for sys/stat.h... (cached) yes +checking for fputs_unlocked... checking for sys/pstat.h... yes +checking for sys/mman.h... checking whether x86_64-linux-gnu-gcc-12 supports -Wwrite-strings... yes no -checking for an ANSI C-conforming const... checking argz.h usability... yes -checking for sys/pstat.h... yes -GNU/Linux ld.so +checking for sys/sysmp.h... yes +checking for fcntl.h... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate -checking whether stripping libraries is possible... yes +checking whether stripping libraries is possible... checking whether x86_64-linux-gnu-gcc-12 supports -Wstrict-prototypes... yes +checking locale.h presence... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes -checking for int64_t... checking for fcntl.h... checking for fread_unlocked... yes -yes -checking for fread_unlocked... no +checking for int64_t... no +checking for CET support... yes yes -checking for inline... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... checking for sys/sysmp.h... yes +no yes -checking argz.h presence... no -checking for alloca.h... inline -checking for obstacks... checking for sys/sysinfo.h... yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes -checking for argz.h... yes +checking for locale.h... yes yes +no +checking for an ANSI C-conforming const... checking for sys/sysinfo.h... checking for fread_unlocked... checking for fread_unlocked... checking for alloca.h... yes +checking nl_types.h usability... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes +checking for inline... yes +checking for machine/hal_sysinfo.h... yes yes +checking for sys/pstat.h... inline +checking for obstacks... checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... checking for fwrite_unlocked... no yes -checking limits.h usability... checking for fwrite_unlocked... checking for sys/pstat.h... yes -checking for machine/hal_sysinfo.h... no -checking for CET support... yes -checking for fwrite_unlocked... checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... no -checking for sys/sysmp.h... no -checking for sys/table.h... no yes -checking for uint64_t... yes +checking nl_types.h presence... checking for sys/table.h... no +checking for sys/sysmp.h... checking for fwrite_unlocked... yes +checking for nl_types.h... yes yes no +checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-format-attribute... checking for sys/sysctl.h... checking malloc.h usability... yes +checking for uint64_t... no checking for sys/sysinfo.h... yes yes -checking limits.h presence... checking for off_t... checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... checking for sys/sysctl.h... checking for getchar_unlocked... yes no +checking whether x86_64-linux-gnu-gcc-12 supports -Wcast-qual... yes +checking for off_t... checking for sys/systemcfg.h... checking for getchar_unlocked... yes yes -checking for limits.h... yes +checking for machine/hal_sysinfo.h... yes +checking malloc.h presence... no +yes +checking for _Unwind_GetIPInfo... checking for getchar_unlocked... checking for stdint.h... (cached) yes yes -checking for sys/systemcfg.h... checking for machine/hal_sysinfo.h... yes -checking for getchar_unlocked... checking for _Unwind_GetIPInfo... checking locale.h usability... no -no -checking for stdint.h... (cached) yes -checking for sys/table.h... yes checking for sys/wait.h that is POSIX.1 compatible... yes -checking for stdio_ext.h... checking for getc_unlocked... no +checking for malloc.h... yes +no +checking for stdio_ext.h... checking for sys/table.h... yes +yes +checking stddef.h usability... checking for getc_unlocked... yes yes +checking for CET support... no checking for sys/sysctl.h... yes -checking locale.h presence... yes +configure: updating cache ./config.cache checking for process.h... yes -checking for CET support... checking for getc_unlocked... no -yes -yes -checking for sys/systemcfg.h... checking for locale.h... yes yes -checking for size_t... no -checking for sys/prctl.h... checking nl_types.h usability... no +checking for size_t... configure: creating ./config.status yes -checking for stdint.h... (cached) yes +checking stddef.h presence... checking for getc_unlocked... no +no yes -checking for putchar_unlocked... checking for sys/wait.h that is POSIX.1 compatible... checking for stdio_ext.h... configure: updating cache ./config.cache +checking for stddef.h... yes yes -checking that generated files are newer than configure... done +checking for sys/systemcfg.h... configure: updating cache ./config.cache +checking for sys/prctl.h... checking that generated files are newer than configure... done configure: creating ./config.status yes +checking for stdlib.h... (cached) yes +checking for putchar_unlocked... checking for sys/wait.h that is POSIX.1 compatible... no +checking for string.h... (cached) yes +checking for stdint.h... (cached) yes yes -checking for process.h... checking for putchar_unlocked... configure: updating cache ./config.cache -yes -checking whether time.h and sys/time.h may both be included... yes -checking nl_types.h presence... configure: creating ./config.status -no -checking for sys/prctl.h... yes -checking for nl_types.h... yes +checking for stdio_ext.h... checking for putchar_unlocked... checking for unistd.h... (cached) yes yes -checking malloc.h usability... checking for putc_unlocked... yes checking for ssize_t... yes +checking for sys/param.h... (cached) yes yes -checking whether errno must be declared... checking for sys/wait.h that is POSIX.1 compatible... yes +checking whether time.h and sys/time.h may both be included... yes +checking for process.h... checking for feof_unlocked... checking for putc_unlocked... yes +checking whether errno must be declared... yes +no +checking for sys/prctl.h... checking for putc_unlocked... yes +checking __sync extensions... yes no -checking size of int... checking for putc_unlocked... yes -checking malloc.h presence... yes -yes -checking whether time.h and sys/time.h may both be included... checking whether abort is declared... yes -checking for malloc.h... yes yes -checking __sync extensions... checking stddef.h usability... yes -checking whether errno must be declared... yes -checking for uintptr_t... config.status: creating Makefile +checking size of int... checking for uintptr_t... yes yes -checking whether abort is declared... config.status: creating config.h -4 -checking size of long... no -checking size of int... config.status: executing gstdint.h commands +checking for sys/wait.h that is POSIX.1 compatible... checking for fgets_unlocked... config.status: creating Makefile +checking whether abort is declared... yes yes -checking __atomic extensions... yes +checking whether time.h and sys/time.h may both be included... config.status: creating config.h yes -checking stddef.h presence... checking whether asprintf is declared... yes -checking for stddef.h... yes -checking for stdlib.h... (cached) yes -checking for string.h... (cached) yes +checking __atomic extensions... config.status: executing gstdint.h commands +checking whether abort is declared... yes +4 yes -checking for unistd.h... (cached) yes +checking whether errno must be declared... yes +checking for ptrdiff_t... checking size of long... yes +checking for getc_unlocked... yes +checking output filetype... checking whether asprintf is declared... yes +no +checking size of int... elf64 checking whether asprintf is declared... yes -checking output filetype... checking for sys/param.h... (cached) yes -checking for feof_unlocked... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' +looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes +checking whether basename is declared... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decNumber.c source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decContext.c source='../../src/libdecnumber/bid/decimal32.c' object='decimal32.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal32.c +8 source='../../src/libdecnumber/bid/decimal64.c' object='decimal64.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal64.c -yes -yes -checking for ptrdiff_t... source='../../src/libdecnumber/bid/decimal128.c' object='decimal128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal128.c -source='../../src/libdecnumber/bid/bid2dpd_dpd2bid.c' object='bid2dpd_dpd2bid.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/bid2dpd_dpd2bid.c +checking size of size_t... source='../../src/libdecnumber/bid/decimal128.c' object='decimal128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal128.c +checking for getcwd... source='../../src/libdecnumber/bid/bid2dpd_dpd2bid.c' object='bid2dpd_dpd2bid.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/bid2dpd_dpd2bid.c source='../../src/libdecnumber/bid/host-ieee32.c' object='host-ieee32.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee32.c -8 -elf64 +yes +source='../../src/libdecnumber/bid/host-ieee64.c' object='host-ieee64.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee64.c 4 -checking size of size_t... checking size of long... looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes -checking whether basename is declared... source='../../src/libdecnumber/bid/host-ieee64.c' object='host-ieee64.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee64.c yes -checking whether basename is declared... checking for fgets_unlocked... yes -checking for uint64_t... source='../../src/libdecnumber/bid/host-ieee128.c' object='host-ieee128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee128.c +checking for uint64_t... checking size of long... checking whether basename is declared... yes +checking for uintptr_t... yes +source='../../src/libdecnumber/bid/host-ieee128.c' object='host-ieee128.o' libtool=no x86_64-linux-gnu-gcc-12 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee128.c +checking whether errno is declared... yes 8 -checking for long long... yes +checking for long long... checking for getegid... yes yes -checking for uintptr_t... checking whether errno is declared... 8 -yes -checking size of size_t... checking whether errno is declared... yes -yes -checking whether struct tm is in sys/time.h or time.h... checking for getc_unlocked... no -checking whether vasprintf is declared... yes +checking whether struct tm is in sys/time.h or time.h... checking whether errno is declared... yes checking for int_least32_t... no -checking whether vasprintf is declared... time.h +time.h checking size of int... yes -checking size of long long... yes 8 -checking for long long... checking for getcwd... yes -yes +checking whether vasprintf is declared... checking size of size_t... checking for geteuid... no +checking whether vasprintf is declared... yes +checking size of long long... yes +checking for int_fast32_t... yes yes -checking for int_fast32_t... checking whether clearerr_unlocked is declared... checking whether clearerr_unlocked is declared... 4 +checking whether clearerr_unlocked is declared... checking for getgid... 4 checking size of long... yes +checking whether clearerr_unlocked is declared... 8 8 -checking for a 64-bit type... checking for getegid... yes -yes +checking for long long... checking for a 64-bit type... yes checking for uint64_t... yes -checking whether feof_unlocked is declared... checking whether feof_unlocked is declared... uint64_t -checking for intptr_t... yes yes -checking size of long long... yes -8 -checking for geteuid... checking whether ferror_unlocked is declared... checking for clearerr_unlocked... yes +checking whether feof_unlocked is declared... uint64_t +checking for getuid... checking for intptr_t... 8 +yes +checking for clearerr_unlocked... checking whether feof_unlocked is declared... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes checking whether ferror_unlocked is declared... yes yes -checking whether fflush_unlocked is declared... config.status: creating Makefile yes -checking for feof_unlocked... yes +yes +checking size of long long... checking for mempcpy... checking whether ferror_unlocked is declared... checking for feof_unlocked... yes checking sys/mman.h presence... yes -checking for uintptr_t... checking for getgid... config.status: creating config.h +checking for uintptr_t... yes yes checking for sys/mman.h... yes -8 -checking for a 64-bit type... checking for mmap... config.status: executing depfiles commands +checking for mmap... checking whether fflush_unlocked is declared... yes yes -checking whether fflush_unlocked is declared... yes -uint64_t -config.status: executing libtool commands -checking for intptr_t... yes -checking whether fgetc_unlocked is declared... checking for ferror_unlocked... yes -checking for getuid... yes -checking link.h usability... yes yes +checking whether fflush_unlocked is declared... checking for munmap... checking for ferror_unlocked... yes +8 +checking for a 64-bit type... yes +checking link.h usability... checking whether fgetc_unlocked is declared... uint64_t yes -checking for ssize_t... checking whether fgets_unlocked is declared... checking whether fgetc_unlocked is declared... yes -checking for fflush_unlocked... yes -checking for mempcpy... yes -checking link.h presence... yes -checking whether fileno_unlocked is declared... yes +checking for ssize_t... checking for intptr_t... yes yes -checking for uintptr_t... yes +checking whether fgetc_unlocked is declared... yes +checking for putenv... yes +checking link.h presence... checking for fflush_unlocked... yes +checking whether fgets_unlocked is declared... yes checking for link.h... yes checking for dl_iterate_phdr... yes -checking whether fgets_unlocked is declared... checking for fgetc_unlocked... yes -checking for munmap... yes -checking for pid_t... yes yes -checking mach-o/dyld.h usability... checking whether fprintf_unlocked is declared... yes +checking whether fgets_unlocked is declared... checking for setenv... yes yes -checking whether fileno_unlocked is declared... yes -checking for fgets_unlocked... checking for putenv... no -checking whether fputc_unlocked is declared... no +checking mach-o/dyld.h usability... yes +yes +checking for pid_t... checking whether fileno_unlocked is declared... yes +checking for uintptr_t... yes +checking for fgetc_unlocked... checking whether fileno_unlocked is declared... yes +checking for setlocale... no checking mach-o/dyld.h presence... yes -checking for library containing strerror... no -checking for mach-o/dyld.h... no -checking sys/ldr.h usability... yes -checking for ssize_t... yes yes checking whether fprintf_unlocked is declared... yes -checking for fileno_unlocked... yes -checking for setenv... checking whether fputs_unlocked is declared... none required -checking for asprintf... no -checking sys/ldr.h presence... no yes +checking for library containing strerror... no +checking for mach-o/dyld.h... no +checking sys/ldr.h usability... checking whether fprintf_unlocked is declared... checking for fgets_unlocked... yes +checking for stpcpy... no yes +checking for ssize_t... no no +checking sys/ldr.h presence... none required +checking whether fputc_unlocked is declared... checking whether fputc_unlocked is declared... yes +checking for asprintf... no checking for sys/ldr.h... no -checking for fcntl... checking whether fputc_unlocked is declared... yes -checking for setlocale... checking for fprintf_unlocked... checking whether fread_unlocked is declared... yes +checking for fcntl... yes +checking for fileno_unlocked... yes +checking for strcasecmp... checking whether fputs_unlocked is declared... yes yes -checking for pid_t... checking for atexit... yes -checking whether strnlen is declared... yes +checking for atexit... checking whether fputs_unlocked is declared... yes +yes +config.status: creating Makefile yes +checking for pid_t... checking whether strnlen is declared... checking for fprintf_unlocked... yes +checking whether fread_unlocked is declared... yes +config.status: creating config.h yes -checking whether fputs_unlocked is declared... checking whether fwrite_unlocked is declared... checking for stpcpy... no -checking for fputc_unlocked... yes -checking for basename... yes -checking whether getchar_unlocked is declared... yes yes +checking for strdup... checking for basename... config.status: executing depfiles commands +yes +checking whether fread_unlocked is declared... no yes -checking whether getpagesize is declared... checking whether fread_unlocked is declared... yes -checking for strcasecmp... checking for fputs_unlocked... yes +checking whether getpagesize is declared... checking for fputc_unlocked... checking whether fwrite_unlocked is declared... config.status: executing libtool commands yes checking for library containing strerror... yes -checking whether getc_unlocked is declared... checking for bcmp... yes yes -checking for lstat... checking whether fwrite_unlocked is declared... yes -none required yes -checking for asprintf... checking for strdup... yes -checking for fread_unlocked... checking whether putchar_unlocked is declared... yes +checking for strtoul... yes +checking for bcmp... checking whether fwrite_unlocked is declared... yes +yes +checking for lstat... none required +checking for fputs_unlocked... checking whether getchar_unlocked is declared... checking for asprintf... yes +yes +checking for tsearch... yes yes -checking for bcopy... checking for readlink... yes checking whether getchar_unlocked is declared... yes yes -checking for atexit... yes +checking for readlink... checking for bcopy... checking for atexit... checking whether getc_unlocked is declared... yes yes -checking for strtoul... checking whether putc_unlocked is declared... checking for fwrite_unlocked... yes -checking for getexecname... yes +checking for fread_unlocked... yes +checking whether getc_unlocked is declared... checking for __argz_count... yes yes yes -checking whether getc_unlocked is declared... checking for bsearch... checking for basename... yes -checking for an ANSI C-conforming const... yes yes -checking for tsearch... no -checking for KERN_PROC... checking for getchar_unlocked... yes -checking sys/mman.h usability... yes +checking for getexecname... checking whether putchar_unlocked is declared... checking for bsearch... checking for basename... yes +checking for fwrite_unlocked... yes +yes +checking whether putchar_unlocked is declared... yes yes +checking for __argz_stringify... yes +no +checking for KERN_PROC... checking whether putc_unlocked is declared... checking for bzero... checking for bcmp... yes +yes +no +checking for KERN_PROG_ARGS... checking for getchar_unlocked... yes yes -checking whether putchar_unlocked is declared... no -checking for KERN_PROG_ARGS... checking for bzero... checking for bcmp... yes -checking for __argz_count... no +checking whether putc_unlocked is declared... checking for an ANSI C-conforming const... checking for __argz_next... yes +no checking for clock_gettime... yes +checking sys/mman.h usability... yes +checking for calloc... checking for bcopy... yes +yes +checking for getc_unlocked... checking for an ANSI C-conforming const... yes +checking sys/mman.h presence... yes yes -checking sys/mman.h presence... checking for getc_unlocked... yes +checking whether -pthread is supported... yes checking for sys/mman.h... yes -checking for mmap... yes +checking for mmap... checking for __fsetlocking... yes +checking sys/mman.h usability... yes yes -checking whether putc_unlocked is declared... yes -checking for calloc... yes yes -checking for bcopy... checking whether -pthread is supported... checking for __argz_stringify... yes -checking whether -gdwarf-5 is supported... yes +checking whether -gdwarf-5 is supported... checking for bsearch... yes +checking for clock... checking for putchar_unlocked... yes +checking sys/mman.h presence... yes yes +checking for compress in -lz... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes -checking for MAP_ANON(YMOUS)... checking for putchar_unlocked... yes -yes -checking for an ANSI C-conforming const... yes +checking for MAP_ANON(YMOUS)... checking for iconv... yes +checking for sys/mman.h... yes +checking for mmap... yes yes -checking for clock... checking for bsearch... checking for __argz_next... yes +checking for ffs... checking for bzero... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes -checking for compress in -lz... yes -checking sys/mman.h usability... yes -configure: updating cache ./config.cache -checking for putc_unlocked... configure: creating ./config.status yes +checking whether --compress-debug-sections is supported... checking for putc_unlocked... configure: updating cache ./config.cache yes +checking whether read-only mmap of a plain file works... yes +checking whether mmap from /dev/zero works... yes +checking for MAP_ANON(YMOUS)... configure: creating ./config.status yes -checking for ffs... yes -checking for bzero... checking whether --compress-debug-sections is supported... checking for __fsetlocking... yes -checking sys/mman.h presence... yes -checking for sys/mman.h... yes -checking for mmap... yes -checking whether abort is declared... yes +checking for iconv declaration... yes +checking for getcwd... yes yes checking for objcopy... objcopy checking for readelf... x86_64-linux-gnu-readelf checking whether objcopy supports debuglink... yes +checking whether mmap with MAP_ANON(YMOUS) works... yes yes -checking for dsymutil... dsymutil +checking for dsymutil... checking whether to enable maintainer-specific portions of Makefiles... no +dsymutil checking for nm... x86_64-linux-gnu-nm checking for xz... xz checking for comm... comm -checking for lzma_auto_decoder in -llzma... yes -checking for iconv... checking for getcwd... checking for calloc... yes -checking whether read-only mmap of a plain file works... yes -checking whether mmap from /dev/zero works... yes -checking for MAP_ANON(YMOUS)... yes -config.status: creating Makefile -checking whether asprintf is declared... no -checking whether tests can run... yes -checking whether mmap with MAP_ANON(YMOUS) works... yes -checking whether to enable maintainer-specific portions of Makefiles... no -config.status: creating mkheaders.almost -yes -checking for iconv declaration... yes -yes -config.status: creating config.h -checking for clock... configure: updating cache ./config.cache -checking for getpagesize... configure: creating ./config.status -yes - +checking for lzma_auto_decoder in -llzma... checking for calloc... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); +checking for nl_langinfo and CODESET... yes +checking whether abort is declared... configure: updating cache ./config.cache yes -checking for nl_langinfo and CODESET... checking whether basename is declared... yes -configure: updating cache ./config.cache -checking for ffs... yes -checking that generated files are newer than configure... done configure: creating ./config.status -checking for gettimeofday... yes -checking for LC_MESSAGES... yes -checking whether errno is declared... yes +yes +checking for getpagesize... no +checking whether tests can run... yes +checking for LC_MESSAGES... checking for clock... yes +checking whether asprintf is declared... yes yes yes checking for bison... no checking whether NLS is requested... yes -config.status: creating Makefile checking whether included gettext is requested... no -checking for GNU gettext in libc... checking for index... checking for getcwd... config.status: creating mkheaders.almost -no -checking whether getopt is declared... config.status: creating config.h +checking for GNU gettext in libc... yes +checking for gettimeofday... checking for ffs... configure: updating cache ./config.cache yes +checking that generated files are newer than configure... done +configure: creating ./config.status +config.status: creating Makefile +checking whether basename is declared... yes checking whether to use NLS... yes checking where the gettext function comes from... libc -checking for aclocal... aclocal yes +checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader +config.status: creating mkheaders.almost yes -checking for insque... checking for getpagesize... yes -checking whether vasprintf is declared... configure: updating cache ./config.cache -configure: creating ./config.status +checking for index... yes +checking for getcwd... config.status: creating config.h +configure: updating cache ./config.cache +checking whether errno is declared... configure: creating ./config.status +config.status: creating Makefile +no yes +config.status: creating mkheaders.almost yes +checking whether getopt is declared... checking for getpagesize... checking for insque... config.status: creating config.h yes -checking for memchr... checking for gettimeofday... checking whether clearerr_unlocked is declared... yes yes -checking whether feof_unlocked is declared... yes -checking for index... checking for memcmp... config.status: creating Makefile -config.status: creating config.intl -config.status: creating config.h yes -checking whether ferror_unlocked is declared... config.status: executing default-1 commands +checking whether vasprintf is declared... checking for memchr... checking for gettimeofday... yes +checking whether clearerr_unlocked is declared... yes yes +checking for memcmp... config.status: creating Makefile yes -checking for insque... checking for memcpy... yes -checking whether fflush_unlocked is declared... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' -make[4]: Nothing to be done for 'all'. -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' +checking for index... checking whether feof_unlocked is declared... config.status: creating config.intl yes -checking for memchr... yes -checking for memmem... yes -checking whether fgetc_unlocked is declared... yes -checking for memcmp... yes -checking for memmove... yes -checking whether fgets_unlocked is declared... yes -checking for memcpy... yes -checking for mempcpy... yes -checking whether fileno_unlocked is declared... yes -checking for memmem... config.status: creating Makefile yes -config.status: creating backtrace-supported.h -checking for memset... config.status: creating install-debuginfo-for-buildid.sh +checking for memcpy... config.status: creating config.h yes rm -f libdecnumber.a -yes x86_64-linux-gnu-ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o bid2dpd_dpd2bid.o host-ieee32.o host-ieee64.o host-ieee128.o -checking whether fprintf_unlocked is declared... x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') -checking for memmove... config.status: creating config.h -config.status: executing libtool commands -yes +x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') +checking for insque... checking whether ferror_unlocked is declared... config.status: executing default-1 commands x86_64-linux-gnu-ranlib libdecnumber.a -checking for mkstemps... config.status: executing gstdint.h commands -no -checking whether fputc_unlocked is declared... config.status: executing default commands make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' yes -checking for mempcpy... yes -checking for putenv... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -yes -/usr/bin/make all-am -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c -true DO=all multi-do # /usr/bin/make -checking whether fputs_unlocked is declared... /bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c -/bin/bash ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c yes -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o yes -checking for memset... yes -checking for random... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 -checking whether fread_unlocked is declared... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 +checking whether fflush_unlocked is declared... checking for memchr... checking for memmem... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' +make[4]: Nothing to be done for 'all'. +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' yes yes +checking whether fgetc_unlocked is declared... checking for memcmp... yes +checking for memmove... yes yes -checking whether fwrite_unlocked is declared... checking for rename... checking for mkstemps... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 +checking for memcpy... checking whether fgets_unlocked is declared... yes +checking for mempcpy... yes +checking whether fileno_unlocked is declared... yes +checking for memmem... yes yes +checking whether fprintf_unlocked is declared... checking for memset... yes +checking for memmove... no +checking whether fputc_unlocked is declared... yes +checking for mkstemps... yes yes +checking for mempcpy... checking whether fputs_unlocked is declared... yes +checking for putenv... yes yes -checking whether getchar_unlocked is declared... checking for putenv... checking for rindex... yes +checking whether fread_unlocked is declared... checking for memset... yes +checking for random... yes yes +checking whether fwrite_unlocked is declared... checking for mkstemps... yes +checking for rename... yes +checking whether getchar_unlocked is declared... yes +checking for putenv... yes yes -checking for random... checking whether getc_unlocked is declared... checking for setenv... yes +checking whether getc_unlocked is declared... checking for rindex... yes yes +checking for random... checking whether putchar_unlocked is declared... yes yes -checking whether putchar_unlocked is declared... checking for snprintf... checking for rename... yes +checking for setenv... yes +checking whether putc_unlocked is declared... config.status: creating Makefile +checking for rename... config.status: creating backtrace-supported.h yes +checking for working alloca.h... yes +checking for snprintf... config.status: creating install-debuginfo-for-buildid.sh yes -checking whether putc_unlocked is declared... checking for rindex... checking for sigsetmask... yes +checking for rindex... config.status: creating config.h yes -checking for working alloca.h... yes -checking for stpcpy... checking for setenv... yes checking for alloca... yes +config.status: executing libtool commands +checking for sigsetmask... yes yes -checking for snprintf... checking for stpncpy... yes checking for ANSI C header files... (cached) yes -checking for nl_langinfo and CODESET... yes +checking for nl_langinfo and CODESET... config.status: executing gstdint.h commands +checking for setenv... config.status: executing default commands +yes yes -checking for sigsetmask... checking for strcasecmp... yes checking whether NLS is requested... no -checking for uchar... yes -checking for stpcpy... -checking size of ino_t... yes -checking for strchr... yes -checking for stpncpy... yes -checking for strdup... 8 -checking size of dev_t... yes +checking for uchar... checking for stpcpy... yes +checking for snprintf... +checking size of ino_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +/usr/bin/make all-am +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c +yes +true DO=all multi-do # /usr/bin/make +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c +checking for stpncpy... /bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c +yes +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c +/bin/sh ./libtool --tag=CC --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o +checking for sigsetmask... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o +8 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o +checking size of dev_t... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 +yes +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 checking for strcasecmp... yes -checking for strncasecmp... 8 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 +checking for stpcpy... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 +8 checking for ld used by GCC... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... yes -checking for strchr... done +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 +yes +done checking for iconv... (cached) no +checking for strchr... checking for stpncpy... checking for CET support... yes yes -checking for strndup... checking for CET support... yes -checking for strdup... yes -checking for strnlen... yes -checking for strncasecmp... yes -checking for strrchr... yes -checking for strndup... yes +checking for strcasecmp... checking for strdup... yes yes -checking for strstr... yes -configure: updating cache ./config.cache -configure: creating ./config.status -checking for strnlen... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 +checking for strchr... checking for strncasecmp... yes +yes +yes +checking for strdup... configure: updating cache ./config.cache +checking for strndup... configure: creating ./config.status yes -checking for strtod... yes +checking for strncasecmp... yes +checking for strnlen... yes +checking for strndup... yes checking for strrchr... yes -checking for strtol... config.status: creating Makefile +checking for strnlen... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 +config.status: creating Makefile yes config.status: creating config.h checking for strstr... config.status: executing depdir commands +yes mkdir -p -- .deps +checking for strrchr... yes yes -checking for strtoul... yes -checking for strtod... yes -checking for strtoll... yes -checking for strtol... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 +checking for strtod... checking for strstr... yes yes -checking for strtoull... yes +checking for strtol... checking for strtod... yes checking for strtoul... yes +checking for strtol... yes +libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 +yes +checking for strtoll... checking for strtoul... yes +yes +checking for strtoull... checking for strtoll... yes checking for strverscmp... yes -checking for strtoll... yes -checking for tmpnam... yes checking for strtoull... yes -checking for vasprintf... yes -checking for strverscmp... yes -checking for vfprintf... yes checking for tmpnam... yes -checking for vprintf... yes -checking for vasprintf... yes +checking for strverscmp... yes +yes +checking for vasprintf... checking for tmpnam... yes +yes +checking for vfprintf... checking for vasprintf... yes +yes +checking for vprintf... checking for vfprintf... yes checking for vsnprintf... yes -checking for vfprintf... yes -checking for vsprintf... yes checking for vprintf... yes -checking for waitpid... yes +checking for vsprintf... yes checking for vsnprintf... yes -checking for setproctitle... yes -checking for vsprintf... no -checking whether alloca needs Cray hooks... no -checking stack direction for C alloca... yes -checking for waitpid... 1 -checking for vfork.h... yes -checking for setproctitle... no -checking for fork... yes -checking for vfork... no -checking whether alloca needs Cray hooks... no +checking for waitpid... yes +yes +checking for vsprintf... checking for setproctitle... yes +no +checking whether alloca needs Cray hooks... checking for waitpid... no checking stack direction for C alloca... yes -checking for working fork... 1 +checking for setproctitle... 1 checking for vfork.h... no -checking for fork... yes +checking whether alloca needs Cray hooks... no +checking for fork... no +checking stack direction for C alloca... /bin/sh ./libtool --tag=CC --mode=link x86_64-linux-gnu-gcc-12 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo +1 +checking for vfork.h... yes +libtool: link: x86_64-linux-gnu-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o +no +checking for vfork... checking for fork... libtool: link: x86_64-linux-gnu-ranlib .libs/libbacktrace.a +yes +libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +checking for working fork... yes +checking for vfork... yes checking for working vfork... (cached) yes checking for _doprnt... yes -checking for vfork... no -checking for sys_errlist... yes checking for working fork... no -checking for sys_nerr... no -checking for sys_siglist... yes +checking for sys_errlist... yes checking for working vfork... (cached) yes checking for _doprnt... no -checking for external symbol _system_configuration... no +checking for sys_nerr... no checking for sys_errlist... no +checking for sys_siglist... no +checking for sys_nerr... no +checking for external symbol _system_configuration... no checking for __fsetlocking... no -checking for sys_nerr... yes -checking for canonicalize_file_name... no checking for sys_siglist... yes -checking for dup3... no -checking for external symbol _system_configuration... no +no +checking for external symbol _system_configuration... checking for canonicalize_file_name... no checking for __fsetlocking... yes -checking for getrlimit... /bin/bash ./libtool --tag=CC --mode=link x86_64-linux-gnu-gcc-12 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo -yes +checking for dup3... yes checking for canonicalize_file_name... yes -checking for getrusage... libtool: link: x86_64-linux-gnu-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o -yes -libtool: link: x86_64-linux-gnu-ranlib .libs/libbacktrace.a +checking for getrlimit... yes checking for dup3... yes -checking for getsysinfo... libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +checking for getrusage... yes +checking for getrlimit... yes yes -checking for getrlimit... no +checking for getrusage... checking for getsysinfo... yes +no +checking for gettimeofday... checking for getsysinfo... (cached) yes +checking for on_exit... no checking for gettimeofday... (cached) yes -checking for on_exit... yes -checking for getrusage... yes +yes +checking for on_exit... checking for pipe2... yes checking for pipe2... yes -checking for getsysinfo... yes -checking for psignal... no -checking for gettimeofday... (cached) yes -checking for on_exit... yes +checking for psignal... yes +checking for psignal... yes checking for pstat_getdynamic... yes -checking for pipe2... no -checking for pstat_getstatic... yes -checking for psignal... no -checking for realpath... yes -checking for pstat_getdynamic... yes -checking for setrlimit... no -checking for pstat_getstatic... yes -checking for spawnve... no +checking for pstat_getdynamic... no +checking for pstat_getstatic... no +checking for pstat_getstatic... no checking for realpath... no -checking for spawnvpe... yes -checking for setrlimit... no -checking for strerror... yes +checking for realpath... yes +checking for setrlimit... yes +checking for setrlimit... yes checking for spawnve... yes -checking for strsignal... no -checking for spawnvpe... yes -checking for sysconf... no +checking for spawnve... no +checking for spawnvpe... no +checking for spawnvpe... no +checking for strerror... no checking for strerror... yes -checking for sysctl... yes -checking for strsignal... no -checking for sysmp... yes -checking for sysconf... yes -no -checking for table... checking for sysctl... no -no -checking for times... checking for sysmp... yes -no -checking for table... checking for wait3... yes +yes +checking for strsignal... checking for strsignal... yes +yes +checking for sysconf... checking for sysconf... yes +yes +checking for sysctl... checking for sysctl... no +checking for sysmp... no +checking for sysmp... no no -checking for times... checking for wait4... yes +checking for table... checking for table... no +checking for times... no +checking for times... yes yes -checking for wait3... checking for sbrk... yes -checking for wait4... yes -checking whether basename is declared... yes -checking for sbrk... yes -checking whether ffs is declared... yes +checking for wait3... checking for wait3... yes yes -checking whether basename is declared... checking whether asprintf is declared... yes +checking for wait4... checking for wait4... yes yes -checking whether ffs is declared... checking whether vasprintf is declared... yes +checking for sbrk... checking for sbrk... yes yes -checking whether snprintf is declared... checking whether asprintf is declared... yes -checking whether vsnprintf is declared... yes +checking whether basename is declared... checking whether basename is declared... yes +checking whether ffs is declared... yes +checking whether ffs is declared... yes +yes +checking whether asprintf is declared... checking whether asprintf is declared... yes +checking whether vasprintf is declared... yes checking whether vasprintf is declared... yes -checking whether calloc is declared... yes checking whether snprintf is declared... yes -checking whether getenv is declared... yes -checking whether vsnprintf is declared... yes -checking whether getopt is declared... yes -checking whether calloc is declared... yes -checking whether malloc is declared... yes -checking whether getenv is declared... yes -checking whether realloc is declared... yes -checking whether getopt is declared... yes -checking whether sbrk is declared... yes +checking whether snprintf is declared... yes +yes +checking whether vsnprintf is declared... checking whether vsnprintf is declared... yes +yes +checking whether calloc is declared... checking whether calloc is declared... yes +yes +checking whether getenv is declared... checking whether getenv is declared... yes +yes +checking whether getopt is declared... checking whether getopt is declared... yes checking whether malloc is declared... yes -checking whether strtol is declared... yes yes -checking whether realloc is declared... checking whether strtoul is declared... yes +checking whether malloc is declared... checking whether realloc is declared... yes +yes +checking whether sbrk is declared... checking whether realloc is declared... yes yes -checking whether strtoll is declared... checking whether sbrk is declared... yes +checking whether strtol is declared... checking whether sbrk is declared... yes +yes +checking whether strtoul is declared... checking whether strtol is declared... yes +checking whether strtoll is declared... yes +checking whether strtoul is declared... yes checking whether strtoull is declared... yes -checking whether strtol is declared... yes +checking whether strtoll is declared... yes checking whether strverscmp is declared... yes -checking whether strtoul is declared... yes +checking whether strtoull is declared... yes checking whether strnlen is declared... yes +checking whether strverscmp is declared... yes checking whether canonicalize_file_name must be declared... yes -checking whether strtoll is declared... no +checking whether strnlen is declared... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes -checking for sys/param.h... (cached) yes -yes -checking for getpagesize... (cached) yes -checking for working mmap... checking whether strtoull is declared... yes -checking whether strverscmp is declared... yes -checking for working strncmp... yes -checking whether strnlen is declared... yes yes -checking whether canonicalize_file_name must be declared... configure: updating cache ./config.cache -configure: creating ./config.status -no +checking for sys/param.h... (cached) yes +checking whether canonicalize_file_name must be declared... checking for getpagesize... (cached) yes +checking for working mmap... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes +yes checking for sys/param.h... (cached) yes -checking for getpagesize... (cached) yes -checking for working mmap... config.status: creating Makefile +checking for working strncmp... checking for getpagesize... (cached) yes +checking for working mmap... yes +configure: updating cache ./config.cache +yes +checking for working strncmp... configure: creating ./config.status +yes +configure: updating cache ./config.cache +configure: creating ./config.status +config.status: creating Makefile config.status: creating testsuite/Makefile config.status: creating config.h config.status: executing default commands -yes -checking for working strncmp... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' +config.status: creating Makefile +config.status: creating testsuite/Makefile +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi @@ -7458,123 +7499,28 @@ else true; fi touch stamp-picdir touch stamp-noasandir -echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o pic/regex.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o pic/md5.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o pic/sha1.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o noasan/regex.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o pic/alloca.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o noasan/md5.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o pic/argv.o; \ -else true; fi -yes -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o regex.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o cplus-dem.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o cp-demangle.o -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' -make[5]: Nothing to be done for 'all'. -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o md5.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o noasan/argv.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o sha1.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o alloca.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o pic/concat.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o argv.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o noasan/concat.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o bsearch_r.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o choose-temp.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o concat.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o cp-demint.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o pic/crc32.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o crc32.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o d-demangle.o +config.status: creating config.h if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/dyn-string.c -o dyn-string.o -configure: updating cache ./config.cache if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi +config.status: executing default commands x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ @@ -7583,17 +7529,16 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fibheap.c -o fibheap.o -configure: creating ./config.status if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi @@ -7602,19 +7547,19 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o floatformat.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/floatformat.c -o floatformat.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi @@ -7632,10 +7577,10 @@ if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi @@ -7643,13 +7588,15 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getpwd.c -o getpwd.o +mkdir -p -- ./libcpp if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o getruntime.o +Configuring in ./libcpp if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/getruntime.c -o getruntime.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi @@ -7660,71 +7607,143 @@ if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' +if [ x"-fpic" != x ] && [ ! -d pic ]; then \ + mkdir pic; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/hex.c -o hex.o +if [ x"" != x ] && [ ! -d noasan ]; then \ + mkdir noasan; \ +else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o lbasename.o +touch stamp-picdir if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi +touch stamp-noasandir +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lbasename.c -o lbasename.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o pic/obstack.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fnmatch.c -o fnmatch.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o objalloc.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o pic/obstack.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/objalloc.c -o objalloc.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/obstack.c -o obstack.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filedescriptor.c -o filedescriptor.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filename_cmp.c -o filename_cmp.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/partition.c -o partition.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o pic/getopt.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o pic/physmem.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pexecute.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o pic/physmem.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pexecute.c -o pexecute.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/physmem.c -o physmem.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dwarfnames.c -o dwarfnames.o +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ @@ -7732,47 +7751,87 @@ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o noasan/getopt.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dyn-string.c -o dyn-string.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-one.c -o pex-one.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt1.c -o getopt1.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fibheap.c -o fibheap.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/floatformat.c -o floatformat.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o vprintf-support.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getpwd.c -o getpwd.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o pic/hex.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/rust-demangle.c -o rust-demangle.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi -config.status: creating Makefile if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/safe-ctype.c -o safe-ctype.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getruntime.c -o getruntime.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o noasan/hex.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hex.c -o hex.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ @@ -7780,14 +7839,49 @@ if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lbasename.c -o lbasename.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o pic/obstack.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lrealpath.c -o lrealpath.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o pic/partition.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o pic/physmem.o; \ +else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi @@ -7796,20 +7890,57 @@ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o pic/sort.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-temp-file.c -o make-temp-file.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi -config.status: creating testsuite/Makefile +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o noasan/physmem.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/physmem.c -o physmem.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pexecute.c -o pexecute.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o noasan/obstack.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/obstack.c -o obstack.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o pic/sort.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sort.c -o sort.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ +else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o noasan/partition.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/partition.c -o partition.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-one.c -o pex-one.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi @@ -7817,6 +7948,15 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/spaces.c -o spaces.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi @@ -7824,29 +7964,55 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/splay-tree.c -o splay-tree.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ +else true; fi +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi -config.status: creating config.h +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hashtab.c -o hashtab.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/stack-limit.c -o stack-limit.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ +else true; fi +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ +else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o pic/strerror.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-common.c -o pex-common.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o strerror.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/vprintf-support.c -o vprintf-support.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strerror.c -o strerror.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/strsignal.c -o strsignal.o -config.status: executing default commands +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi @@ -7855,6 +8021,10 @@ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-unix.c -o pex-unix.o +if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ @@ -7868,16 +8038,22 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xasprintf.c -o xasprintf.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o xatexit.o +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o pic/sort.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi @@ -7888,36 +8064,58 @@ if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi +configure: creating cache ./config.cache x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xmemdup.c -o xmemdup.o +checking build system type... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrdup.c -o xstrdup.o +x86_64-pc-linux-gnu +checking host system type... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi +x86_64-pc-linux-gnu +checking target system type... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o noasan/sort.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o noasan/spaces.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sort.c -o sort.o x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrerror.c -o xstrerror.o +x86_64-pc-linux-gnu +checking whether /usr/bin/make sets $(MAKE)... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ +else true; fi if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/spaces.c -o spaces.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xstrndup.c -o xstrndup.o -mkdir -p -- ./libcpp +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi @@ -7925,569 +8123,348 @@ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/xvasprintf.c -o xvasprintf.o -Configuring in ./libcpp if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi +yes +checking for a BSD-compatible install... /usr/bin/install -c +checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 if [ x"" != x ]; then \ x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o setproctitle.o -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' -if [ x"-fpic" != x ] && [ ! -d pic ]; then \ - mkdir pic; \ -else true; fi -if [ x"" != x ] && [ ! -d noasan ]; then \ - mkdir noasan; \ -else true; fi -touch stamp-picdir -touch stamp-noasandir -echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o pic/regex.o; \ -else true; fi if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/setproctitle.c -o setproctitle.o +echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o pic/md5.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o pic/sha1.o; \ +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o pic/alloca.o; \ +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/regex.c -o regex.o +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o pic/argv.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ -else true; fi +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o pic/concat.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o noasan/alloca.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/bsearch_r.c -o bsearch_r.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/choose-temp.c -o choose-temp.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o noasan/concat.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/concat.c -o concat.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o pic/crc32.o; \ +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demangle.c -o cp-demangle.o +checking whether the C compiler works... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o noasan/crc32.o; \ +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o noasan/md5.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o noasan/argv.o; \ +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strerror.c -o strerror.o +yes +checking for C compiler default output file name... a.out +checking for suffix of executables... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/md5.c -o md5.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/argv.c -o argv.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demint.c -o cp-demint.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strsignal.c -o strsignal.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dwarfnames.c -o dwarfnames.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o noasan/sha1.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/dyn-string.c -o dyn-string.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sha1.c -o sha1.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fnmatch.c -o fnmatch.o + +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fibheap.c -o fibheap.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/filename_cmp.c -o filename_cmp.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o pic/getopt.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi +checking whether we are cross compiling... x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/md5.c -o md5.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/sha1.c -o sha1.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt1.c -o getopt1.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getopt.c -o noasan/getopt.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getopt.c -o getopt.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o pic/hex.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/floatformat.c -o floatformat.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getruntime.c -o getruntime.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ +no +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o +checking for suffix of object files... if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hex.c -o noasan/hex.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/getpwd.c -o getpwd.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hex.c -o hex.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lbasename.c -o lbasename.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmemdup.c -o xmemdup.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/rust-demangle.c -o rust-demangle.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/lrealpath.c -o lrealpath.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o pic/obstack.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o pic/partition.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o pic/physmem.o; \ +o +checking whether we are using the GNU C compiler... x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrdup.c -o xstrdup.o +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-temp-file.c -o make-temp-file.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ +if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/d-demangle.c -o d-demangle.o -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ +yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/physmem.c -o noasan/physmem.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi +echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/partition.c -o noasan/partition.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/obstack.c -o noasan/obstack.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/physmem.c -o physmem.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/partition.c -o partition.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/obstack.c -o obstack.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmalloc.c -o xmalloc.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/bsearch_r.c -o bsearch_r.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/hashtab.c -o hashtab.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-one.c -o pex-one.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrndup.c -o xstrndup.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/choose-temp.c -o choose-temp.o +yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/safe-ctype.c -o safe-ctype.o +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' +make[5]: Nothing to be done for 'all'. +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/concat.c -o concat.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/pex-unix.c -o pex-unix.o +none needed if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object.c -o simple-object.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o pic/sort.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o -configure: creating cache ./config.cache -checking build system type... if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o pic/spaces.o; \ -else true; fi -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ -else true; fi -x86_64-pc-linux-gnu -checking host system type... x86_64-pc-linux-gnu -checking target system type... if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sort.c -o noasan/sort.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sort.c -o sort.o -x86_64-pc-linux-gnu -checking whether /usr/bin/make sets $(MAKE)... if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/spaces.c -o noasan/spaces.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/spaces.c -o spaces.o -yes -checking for a BSD-compatible install... /usr/bin/install -c -checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/cp-demint.c -o cp-demint.o +checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o pic/strerror.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/stack-limit.c -o stack-limit.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/crc32.c -o crc32.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ -else true; fi -checking whether the C compiler works... if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi -rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a -x86_64-linux-gnu-ar rc ./libiberty.a \ - ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/timeval-utils.c -o timeval-utils.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../../src/libiberty/d-demangle.c -o d-demangle.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/splay-tree.c -o splay-tree.o yes -checking for C compiler default output file name... a.out -checking for suffix of executables... if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strerror.c -o noasan/strerror.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strerror.c -o strerror.o -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ +checking whether x86_64-linux-gnu-g++-12 accepts -g... if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi -x86_64-linux-gnu-ranlib ./libiberty.a -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/strsignal.c -o strsignal.o -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o pic/xexit.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xasprintf.c -o xasprintf.o - -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ +yes +checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib +checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar +checking how to run the C preprocessor... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xatexit.c -o xatexit.o -checking whether we are cross compiling... if [ x"" != x ]; then \ - cd pic; \ - x86_64-linux-gnu-ar rc ./libiberty.a \ - ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ - x86_64-linux-gnu-ranlib ./libiberty.a; \ - cd ..; \ -else true; fi; \ +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ - cd noasan; \ - x86_64-linux-gnu-ar rc ./libiberty.a \ - ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ - x86_64-linux-gnu-ranlib ./libiberty.a; \ - cd ..; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/bsearch_r.c -o bsearch_r.o +x86_64-linux-gnu-gcc-12 -E if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xexit.c -o noasan/xexit.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xexit.c -o xexit.o -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ -else true; fi -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c -no -checking for suffix of object files... x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/rust-demangle.c -o rust-demangle.o -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xmalloc.c -o xmalloc.o -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc -o -checking whether we are using the GNU C compiler... if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrdup.c -o xstrdup.o -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/md5.c -o md5.o if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c -yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc -if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ +checking for grep that handles long lines and -e... if [ x"-fpic" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrerror.c -o xstrerror.o -yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ +/bin/grep +checking for egrep... /bin/grep -E +checking for ANSI C header files... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xstrndup.c -o xstrndup.o +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/xvasprintf.c -o xvasprintf.o -none needed -if [ x"-fpic" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi -echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new -checking whether we are using the GNU C++ compiler... ../../../src/libcpp/../move-if-change localedir.new localedir.h -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ -else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/setproctitle.c -o setproctitle.o -echo timestamp > localedir.hs -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc -yes -checking whether x86_64-linux-gnu-g++-12 accepts -g... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c -yes -checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar -checking how to run the C preprocessor... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c -x86_64-linux-gnu-gcc-12 -E -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c -x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c -checking for grep that handles long lines and -e... /bin/grep -checking for egrep... if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demangle.c -o cp-demangle.o -srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu -/bin/grep -E -checking for ANSI C header files... sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT -x86_64-linux-gnu-gcc-12 -g -O2 -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/concat.c -o concat.o if [ x"" != x ]; then \ - x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o noasan/regex.o; \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi -mv -f mkheadersT mkheaders -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc -x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/regex.c -o regex.o -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc -echo timestamp > full-stamp -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' -x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/sha1.c -o sha1.o yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes -checking for strings.h... yes +checking for strings.h... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/d-demangle.c -o d-demangle.o +yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes @@ -8509,30 +8486,84 @@ checking whether x86_64-linux-gnu-gcc-12 supports -Wmissing-prototypes... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wold-style-definition... yes checking whether x86_64-linux-gnu-gcc-12 supports -Wc++-compat... yes -checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... yes +checking whether x86_64-linux-gnu-gcc-12 supports -pedantic -Wlong-long... if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ +else true; fi +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/cp-demangle.c -o cp-demangle.o +yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-exceptions... yes checking whether x86_64-linux-gnu-gcc-12 supports -fno-rtti... yes -checking dependency style of x86_64-linux-gnu-g++-12... gcc3 -checking whether time.h and sys/time.h may both be included... yes -checking whether string.h and strings.h may both be included... yes -checking locale.h usability... yes +rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a +x86_64-linux-gnu-ar rc ./libiberty.a \ + ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o +x86_64-linux-gnu-ranlib ./libiberty.a +checking dependency style of x86_64-linux-gnu-g++-12... if [ x"" != x ]; then \ + cd pic; \ + x86_64-linux-gnu-ar rc ./libiberty.a \ + ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ + x86_64-linux-gnu-ranlib ./libiberty.a; \ + cd ..; \ +else true; fi; \ +if [ x"" != x ]; then \ + cd noasan; \ + x86_64-linux-gnu-ar rc ./libiberty.a \ + ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ + x86_64-linux-gnu-ranlib ./libiberty.a; \ + cd ..; \ +else true; fi +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' +gcc3 +checking whether time.h and sys/time.h may both be included... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc +x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc +x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc +echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new +x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c +x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc +x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c +../../../src/libcpp/../move-if-change localedir.new localedir.h +echo timestamp > localedir.hs +if [ x"" != x ]; then \ + x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection -fpic ../../src/libiberty/regex.c -o noasan/regex.o; \ +else true; fi +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc +x86_64-linux-gnu-gcc-12 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fcf-protection ../../src/libiberty/regex.c -o regex.o +yes +checking whether string.h and strings.h may both be included... x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c +yes +checking locale.h usability... x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c +srcdir="../../../src/fixincludes" /bin/sh ../../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc +sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT +mv -f mkheadersT mkheaders +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc +x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc +yes checking locale.h presence... yes checking for locale.h... yes -checking fcntl.h usability... yes -checking fcntl.h presence... yes +checking fcntl.h usability... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc +yes +checking fcntl.h presence... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc +yes checking for fcntl.h... yes -checking limits.h usability... yes +checking limits.h usability... x86_64-linux-gnu-g++-12 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc +x86_64-linux-gnu-gcc-12 -g -O2 -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a +yes checking limits.h presence... yes checking for limits.h... yes -checking stddef.h usability... rm -f libcpp.a -x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o -x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') -x86_64-linux-gnu-ranlib libcpp.a -yes +echo timestamp > full-stamp +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' +checking stddef.h usability... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... yes @@ -8543,12 +8574,23 @@ checking for an ANSI C-conforming const... yes checking for inline... inline checking for obstacks... yes -checking for off_t... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a +checking for off_t... yes +checking for size_t... yes +checking for ssize_t... yes +checking for uintptr_t... yes +checking for ptrdiff_t... yes +checking for uint64_t... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o -x86_64-linux-gnu-ranlib ./libiberty.a +rm -f libcpp.a +x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o +x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') +x86_64-linux-gnu-ranlib libcpp.a yes -checking for size_t... if [ x"-fpic" != x ]; then \ +checking whether struct tm is in sys/time.h or time.h... x86_64-linux-gnu-ranlib ./libiberty.a +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' +time.h +checking size of int... if [ x"-fpic" != x ]; then \ cd pic; \ x86_64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ @@ -8562,172 +8604,161 @@ x86_64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' -yes -checking for ssize_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' +4 +checking size of long... make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c +/usr/bin/make all-am +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c +/bin/sh ./libtool --tag=CC --tag=disable-static --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c x86_64-linux-gnu-gcc-12 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c -/usr/bin/make all-am -srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu +srcdir="../../src/fixincludes" /bin/sh ../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu sed -e 's/@gcc_version@/12/' < mkheaders.almost > mkheadersT -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' -/bin/bash ./libtool --tag=CC --tag=disable-static --mode=compile x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c mv -f mkheadersT mkheaders -libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o +8 +checking for clearerr_unlocked... libtool: compile: x86_64-linux-gnu-gcc-12 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -fcf-protection -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o yes -checking for uintptr_t... yes -checking for ptrdiff_t... yes -checking for uint64_t... yes -checking whether struct tm is in sys/time.h or time.h... x86_64-linux-gnu-gcc-12 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a -time.h -checking size of int... echo timestamp > full-stamp +checking for feof_unlocked... yes +checking for ferror_unlocked... yes +checking for fflush_unlocked... yes +x86_64-linux-gnu-gcc-12 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a +checking for fgetc_unlocked... echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' -4 -checking size of long... /bin/bash ./libtool --tag=CC --tag=disable-static --mode=link x86_64-linux-gnu-gcc-12 -Wall -fcf-protection -g -O2 -Wc,-static-libgcc -module -avoid-version -bindir /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a -8 -checking for clearerr_unlocked... libtool: link: x86_64-linux-gnu-gcc-12 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so -libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) -mkdir -p -- ../gcc yes -checking for feof_unlocked... libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so -libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la +checking for fgets_unlocked... yes +/bin/sh ./libtool --tag=CC --tag=disable-static --mode=link x86_64-linux-gnu-gcc-12 -Wall -fcf-protection -g -O2 -Wc,-static-libgcc -module -avoid-version -bindir /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a +checking for fileno_unlocked... yes +libtool: link: x86_64-linux-gnu-gcc-12 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so +checking for fprintf_unlocked... libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) +mkdir -p -- ../gcc +no +libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so +checking for fputc_unlocked... libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' Configuring in ./gcc yes -checking for ferror_unlocked... yes -checking for fflush_unlocked... yes -checking for fgetc_unlocked... yes -checking for fgets_unlocked... yes -checking for fileno_unlocked... yes -checking for fprintf_unlocked... configure: creating cache ./config.cache -checking build system type... no +checking for fputs_unlocked... yes +checking for fread_unlocked... yes +checking for fwrite_unlocked... yes +checking for getchar_unlocked... yes +checking for getc_unlocked... yes +checking for putchar_unlocked... yes +checking for putc_unlocked... yes +checking whether abort is declared... yes +checking whether asprintf is declared... configure: creating cache ./config.cache +checking build system type... x86_64-pc-linux-gnu +checking host system type... yes x86_64-pc-linux-gnu -checking host system type... checking for fputc_unlocked... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu -checking LIBRARY_PATH variable... ok +checking whether basename is declared... checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default dsymutil was specified... no checking whether a default assembler was specified... no checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -checking whether the C compiler works... yes -checking for fputs_unlocked... yes +yes +checking whether the C compiler works... checking whether errno is declared... yes checking for C compiler default output file name... a.out -checking for suffix of executables... yes -checking for fread_unlocked... +checking for suffix of executables... no +checking whether getopt is declared... checking whether we are cross compiling... yes -checking for fwrite_unlocked... no +checking whether vasprintf is declared... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes -yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... checking for getchar_unlocked... yes +checking whether clearerr_unlocked is declared... yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... yes -checking for getc_unlocked... none needed -checking whether we are using the GNU C++ compiler... yes -checking for putchar_unlocked... yes +checking whether feof_unlocked is declared... none needed +yes +checking whether we are using the GNU C++ compiler... checking whether ferror_unlocked is declared... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... yes checking for x86_64-linux-gnu-gnatbind... x86_64-linux-gnu-gnatbind -checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j15 -R -eS +checking for x86_64-linux-gnu-gnatmake... gnatmake -v -j16 -R -eS checking whether compiler driver understands Ada... yes -yes +checking whether fflush_unlocked is declared... yes checking for x86_64-linux-gnu-gdc... no checking whether the D compiler works... no -checking for putc_unlocked... checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -E -yes -checking whether abort is declared... checking for grep that handles long lines and -e... /bin/grep +checking how to run the C++ preprocessor... yes +checking whether fgetc_unlocked is declared... x86_64-linux-gnu-g++-12 -E +checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes -checking whether asprintf is declared... yes -checking whether basename is declared... yes -checking for sys/types.h... yes -checking whether errno is declared... yes -checking for sys/stat.h... no +checking whether fgets_unlocked is declared... yes +checking whether fileno_unlocked is declared... yes +checking whether fprintf_unlocked is declared... yes +checking for sys/types.h... no yes -checking whether getopt is declared... checking for stdlib.h... yes -checking for string.h... yes -checking whether vasprintf is declared... yes -checking for memory.h... yes -checking whether clearerr_unlocked is declared... yes -checking for strings.h... yes -checking whether feof_unlocked is declared... yes -checking for inttypes.h... yes +checking whether fputc_unlocked is declared... checking for sys/stat.h... yes +yes +checking whether fputs_unlocked is declared... checking for stdlib.h... yes +yes +checking whether fread_unlocked is declared... checking for string.h... yes yes -checking whether ferror_unlocked is declared... checking for stdint.h... yes +checking for memory.h... checking whether fwrite_unlocked is declared... yes yes -checking for unistd.h... checking whether fflush_unlocked is declared... yes +checking for strings.h... checking whether getchar_unlocked is declared... yes +yes +checking for inttypes.h... checking whether getc_unlocked is declared... yes +yes +checking for stdint.h... checking whether putchar_unlocked is declared... yes +checking for unistd.h... yes +checking whether putc_unlocked is declared... yes checking minix/config.h usability... yes -no -checking whether fgetc_unlocked is declared... checking minix/config.h presence... no +checking for working alloca.h... no +checking minix/config.h presence... yes +checking for alloca... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes -checking whether fgets_unlocked is declared... yes -checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E -yes -checking whether fileno_unlocked is declared... checking for inline... inline -checking for special C compiler options needed for large files... no -checking for _FILE_OFFSET_BITS value needed for large files... yes -checking whether fprintf_unlocked is declared... no -checking size of ino_t... no -checking whether fputc_unlocked is declared... 8 -checking size of dev_t... yes -checking whether fputs_unlocked is declared... 8 -checking size of void *... yes -checking whether fread_unlocked is declared... 8 -checking size of short... yes -checking whether fwrite_unlocked is declared... yes -2 -checking whether getchar_unlocked is declared... checking size of int... yes -checking whether getc_unlocked is declared... 4 -checking size of long... yes -checking whether putchar_unlocked is declared... 8 -checking for long long... yes -checking whether putc_unlocked is declared... yes -checking for working alloca.h... yes -checking size of long long... yes -checking for alloca... yes +checking how to run the C preprocessor... yes checking for ANSI C header files... (cached) yes -checking for nl_langinfo and CODESET... 8 -checking for int8_t... yes +checking for nl_langinfo and CODESET... x86_64-linux-gnu-gcc-12 -E +yes checking whether NLS is requested... yes -checking for catalogs to be installed... yes -checking for int16_t... yes -checking for int32_t... be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW +checking for catalogs to be installed... checking for inline... inline +checking for special C compiler options needed for large files... no +checking for _FILE_OFFSET_BITS value needed for large files... no +checking size of ino_t... 8 +checking size of dev_t... 8 +checking size of void *... 8 +checking size of short... 2 +checking size of int... be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW checking for uchar... -checking size of ino_t... yes -checking for int64_t... 8 -checking size of dev_t... yes -checking for unsigned long long int... 8 +checking size of ino_t... 4 +checking size of long... 8 +checking size of dev_t... 8 +checking for long long... 8 checking for ld used by GCC... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes -checking for shared library run path origin... yes -checking for long long int... done +checking for shared library run path origin... done checking for iconv... yes -checking for intmax_t... yes -checking for iconv declaration... +checking size of long long... yes +checking for iconv declaration... 8 +checking for int8_t... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for CET support... yes -checking for intptr_t... yes -checking for uint8_t... yes -yes -checking for uint16_t... configure: updating cache ./config.cache +checking for int16_t... yes +checking for int32_t... yes +configure: updating cache ./config.cache configure: creating ./config.status yes -checking for uint32_t... yes -checking for uint64_t... config.status: creating Makefile -config.status: creating config.h +checking for int64_t... yes +checking for unsigned long long int... yes +checking for long long int... config.status: creating Makefile +yes +checking for intmax_t... config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps yes -checking for uintmax_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' +checking for intptr_t... make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc @@ -8735,17 +8766,22 @@ x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new -x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc ../../src/libcpp/../move-if-change localedir.new localedir.h +x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc +echo timestamp > localedir.hs x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc -echo timestamp > localedir.hs -x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc yes +checking for uint8_t... x86_64-linux-gnu-g++-12 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-12\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc +yes +checking for uint16_t... yes +checking for uint32_t... yes +checking for uint64_t... yes +checking for uintmax_t... yes checking for uintptr_t... yes checking for int64_t underlying type... long checking for std::swap in ... yes @@ -8795,7 +8831,12 @@ checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... yes -checking for stddef.h... yes +rm -f libcpp.a +x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o +x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') +checking for stddef.h... x86_64-linux-gnu-ranlib libcpp.a +yes +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' checking for string.h... (cached) yes checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes @@ -8819,15 +8860,10 @@ checking for ldfcn.h... no checking for locale.h... yes checking for wchar.h... yes -checking for thread.h... rm -f libcpp.a -x86_64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o -x86_64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') -no +checking for thread.h... no checking for pthread.h... yes -checking for CHAR_BIT... x86_64-linux-gnu-ranlib libcpp.a -yes -checking whether byte ordering is bigendian... make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' -no +checking for CHAR_BIT... yes +checking whether byte ordering is bigendian... no checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -E checking for unordered_map... yes checking for tr1/unordered_map... yes @@ -9189,28 +9225,26 @@ make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ -/bin/bash ../../src/gcc/mkconfig.sh config.h +/bin/sh ../../src/gcc/mkconfig.sh config.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/i386/biarch64.h config/i386/i386.h config/i386/unix.h config/i386/att.h config/dbxelf.h config/elfos.h config/gnu-user.h config/glibc-stdint.h config/i386/x86-64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/linux.h config/linux-android.h config/i386/linux-common.h config/i386/linux64.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \ -/bin/bash ../../src/gcc/mkconfig.sh tm.h +/bin/sh ../../src/gcc/mkconfig.sh tm.h TARGET_CPU_DEFAULT="" \ HEADERS="config/i386/i386-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ -/bin/bash ../../src/gcc/mkconfig.sh tm_p.h +/bin/sh ../../src/gcc/mkconfig.sh tm_p.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ -/bin/bash ../../src/gcc/mkconfig.sh bconfig.h +/bin/sh ../../src/gcc/mkconfig.sh bconfig.h LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/i386/i386.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/linux-android.opt > tmp-optionlist -/bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list +/bin/sh ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list echo "#define BUILDING_GCC_MAJOR `echo 12.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/i386/i386.h config/i386/i386-protos.h defaults.h" DEFINES="" \ -/bin/bash ../../src/gcc/mkconfig.sh tm_d.h -echo timestamp > s-gtyp-input -echo "#define BUILDING_GCC_MINOR `echo 12.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h +/bin/sh ../../src/gcc/mkconfig.sh tm_d.h if test yes = yes \ || test -n "m64=../lib:x86_64-linux-gnu m32=../lib32:i386-linux-gnu mx32=../libx32:x86_64-linux-gnux32"; then \ - /bin/bash ../../src/gcc/genmultilib \ + /bin/sh ../../src/gcc/genmultilib \ "m32/m64/mx32" \ " 32 64 x32" \ "" \ @@ -9224,89 +9258,98 @@ "yes" \ > tmp-mlib.h; \ else \ - /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ + /bin/sh ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "x86_64-linux-gnu" '' no \ > tmp-mlib.h; \ fi +echo timestamp > s-gtyp-input +echo "#define BUILDING_GCC_MINOR `echo 12.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h rm -f tmp-all-tree.def echo "#define BUILDING_GCC_PATCHLEVEL `echo 12.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h -/bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h +/bin/sh ../../src/gcc/../move-if-change tmp-specs.h specs.h echo '#include "tree.def"' > tmp-all-tree.def -gawk -f ../../src/gcc/gen-pass-instances.awk \ - ../../src/gcc/passes.def ../../src/gcc/config/i386/i386-passes.def > pass-instances.def -echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def -/bin/bash ../../src/gcc/config/nvptx/gen-omp-device-properties.sh \ - "../../src/gcc/config/nvptx" > omp-device-properties-nvptx -echo '#include "c-family/c-common.def"' >> tmp-all-tree.def echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h -echo kind: gpu > omp-device-properties-gcn +echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo timestamp > s-specs +echo timestamp > s-bversion +echo '#include "c-family/c-common.def"' >> tmp-all-tree.def ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def -echo timestamp > s-bversion +gawk -f ../../src/gcc/gen-pass-instances.awk \ + ../../src/gcc/passes.def ../../src/gcc/config/i386/i386-passes.def > pass-instances.def +/bin/sh ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def +/bin/sh ../../src/gcc/config/nvptx/gen-omp-device-properties.sh \ + "../../src/gcc/config/nvptx" > omp-device-properties-nvptx +echo kind: gpu > omp-device-properties-gcn +echo timestamp > s-alltree echo arch: gcn >> omp-device-properties-gcn echo "x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc " > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options -/bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def echo isa: fiji gfx900 gfx906 gfx908 >> omp-device-properties-gcn gawk -f ../../src/gcc/config/i386/i386-builtin-types.awk ../../src/gcc/config/i386/i386-builtin-types.def > tmp-bt.inc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c -echo timestamp > s-alltree echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads +echo "package Default_Paths is" >> tmp-dpaths.ads +/bin/sh ../../src/gcc/../move-if-change tmp-bt.inc i386-builtin-types.inc +echo " -- Accept long lines." >> tmp-dpaths.ads +echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads +echo timestamp > s-i386-bt +echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-nm.cc +echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads +suffix=`expr @"/usr/lib/ghdl/gcc/lib" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ +if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/lib"; fi; \ +echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ +echo " \"$suffix/gcc/x86_64-linux-gnu/12/ghdl1\";" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc -configure: creating cache ./config.cache -/bin/bash ../../src/gcc/../move-if-change tmp-bt.inc i386-builtin-types.inc -echo "package Default_Paths is" >> tmp-dpaths.ads +echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads +echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads +echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads +echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads rm -f mm_malloc.h +echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads +cat ../../src/gcc/config/i386/pmm_malloc.h > mm_malloc.h +echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ -/bin/bash ../../src/gcc/mkconfig.sh tconfig.h -checking build system type... x86_64-pc-linux-gnu -checking host system type... echo timestamp > s-i386-bt -echo " -- Accept long lines." >> tmp-dpaths.ads -cat ../../src/gcc/config/i386/pmm_malloc.h > mm_malloc.h +/bin/sh ../../src/gcc/mkconfig.sh tconfig.h +echo " Inc_Prefix : constant String :=" >> tmp-dpaths.ads +echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads (echo "@set version-GCC 12.2.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT -x86_64-pc-linux-gnu -checking target system type... x86_64-pc-linux-gnu -echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads +echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo @set srcdir `echo /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT -checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 -rm -f doc/ghdl.info* -echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads -echo timestamp > lto-dump.pod +echo " \".so\";" >> tmp-dpaths.ads +echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads if [ -n "(Debian 12.2.0-14) " ]; then \ echo "@set VERSION_PACKAGE (Debian 12.2.0-14) " >> gcc-vers.texiT; \ fi -makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi -echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads -perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-12/README.Bugs}" ../../src/gcc/doc/lto-dump.texi > lto-dump.pod +echo "end Default_Paths;" >> tmp-dpaths.ads echo "@set BUGURL @uref{file:///usr/share/doc/gcc-12/README.Bugs}" >> gcc-vers.texiT; \ mv -f gcc-vers.texiT gcc-vers.texi -suffix=`expr @"/usr/lib/ghdl/gcc/lib" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ -if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/lib"; fi; \ -echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ -echo " \"$suffix/gcc/x86_64-linux-gnu/12/ghdl1\";" >> tmp-dpaths.ads -echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads -echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads +../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads +rm -f doc/ghdl.info* +echo timestamp > gcc.pod +makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi +perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod +echo timestamp > lto-dump.pod +perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-12/README.Bugs}" ../../src/gcc/doc/lto-dump.texi > lto-dump.pod +No filename or title +make[4]: [Makefile:3505: gcc.pod] Error 255 (ignored) +No filename or title +make[4]: [Makefile:3495: lto-dump.pod] Error 255 (ignored) x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.cc -echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads -No filename or title x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/sort.o ../../src/gcc/sort.cc -make[4]: [Makefile:3495: lto-dump.pod] Error 255 (ignored) -echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads -echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genhooks.o ../../src/gcc/genhooks.cc rm -f tmp-omp-device-properties.h; \ @@ -9324,20 +9367,10 @@ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ -/bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ +/bin/sh ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h -echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genchecksum.o ../../src/gcc/genchecksum.cc -/bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h -echo " Inc_Prefix : constant String :=" >> tmp-dpaths.ads -echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads -echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads -echo " \".so\";" >> tmp-dpaths.ads -echo timestamp > s-mlib -echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads -echo "end Default_Paths;" >> tmp-dpaths.ads -../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cpp.info ../../src/gcc/doc/cpp.texi; \ @@ -9354,20 +9387,36 @@ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cppinternals.info ../../src/gcc/doc/cppinternals.texi; \ fi -echo timestamp > gcc.pod -checking whether the C compiler works... perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod +echo timestamp > doc/gcc.1 +(pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 gcc.pod > doc/gcc.1.T$$ && \ + mv -f doc/gcc.1.T$$ doc/gcc.1) || \ + (rm -f doc/gcc.1.T$$ && exit 1) +/bin/sh ../../src/gcc/../move-if-change tmp-mlib.h multilib.h echo timestamp > doc/lto-dump.1 (pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 lto-dump.pod > doc/lto-dump.1.T$$ && \ mv -f doc/lto-dump.1.T$$ doc/lto-dump.1) || \ (rm -f doc/lto-dump.1.T$$ && exit 1) -No filename or title -make[4]: [Makefile:3505: gcc.pod] Error 255 (ignored) +echo timestamp > s-mlib x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmodes.o ../../src/gcc/genmodes.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/errors.o ../../src/gcc/errors.cc -yes -checking for C compiler default output file name... a.out +configure: creating cache ./config.cache +checking build system type... x86_64-pc-linux-gnu +checking host system type... x86_64-pc-linux-gnu +checking target system type... echo timestamp > s-omp-device-properties-h +x86_64-linux-gnu-g++-12 -c -DBASEVER="\"12.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Debian 12.2.0-14) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/genversion.o ../../src/gcc/genversion.cc +x86_64-pc-linux-gnu +checking for x86_64-linux-gnu-gcc... x86_64-linux-gnu-gcc-12 +pod2man: unable to format gcc.pod +pod2man: unable to format lto-dump.pod +make[4]: [Makefile:3483: doc/gcc.1] Error 1 (ignored) +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc +make[4]: [Makefile:3482: doc/lto-dump.1] Error 1 (ignored) +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc ../../src/gcc/genhooks.cc: In function 'void emit_documentation(const char*)': ../../src/gcc/genhooks.cc:120:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 120 | fscanf (f, "%999s", buf); @@ -9381,131 +9430,117 @@ ../../src/gcc/genhooks.cc:179:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 179 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ -checking for suffix of executables... install.texi: warning: document without nodes -x86_64-linux-gnu-g++-12 -c -DBASEVER="\"12.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Debian 12.2.0-14) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/genversion.o ../../src/gcc/genversion.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc -pod2man: unable to format lto-dump.pod -echo timestamp > s-omp-device-properties-h -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc -cpp.texi: warning: document without nodes -make[4]: [Makefile:3483: doc/lto-dump.1] Error 1 (ignored) x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genchecksum \ build/genchecksum.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +install.texi: warning: document without nodes +checking whether the C compiler works... cpp.texi: warning: document without nodes +/bin/sh ../../src/gcc/../move-if-change tmp-optionlist optionlist +echo timestamp > s-options +gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ + -f ../../src/gcc/opth-gen.awk \ + < optionlist > tmp-options.h +gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ + -f ../../src/gcc/optc-save-gen.awk \ + -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc +gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ + -f ../../src/gcc/optc-gen.awk \ + -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc cppinternals.texi: warning: document without nodes - -echo timestamp > doc/gcc.1 -(pod2man --center="GNU" --release="gcc-12" --date=2022-08-19 --section=1 gcc.pod > doc/gcc.1.T$$ && \ - mv -f doc/gcc.1.T$$ doc/gcc.1) || \ - (rm -f doc/gcc.1.T$$ && exit 1) -checking whether we are cross compiling... pod2man: unable to format gcc.pod -make[4]: [Makefile:3483: doc/gcc.1] Error 1 (ignored) -no -checking for suffix of object files... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ - build/gengenrtl.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ - build/genhooks.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc \ build/genversion.o -o build/genversion -o -checking whether we are using the GNU C compiler... /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': +yes +checking for C compiler default output file name... a.out +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ + build/gengenrtl.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +checking for suffix of executables... build/genversion > tmp-version.h +build/gengenrtl > tmp-genrtl.h +/bin/sh ../../src/gcc/../move-if-change tmp-version.h version.h +/bin/sh ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h +echo timestamp > s-version +echo timestamp > s-genrtl-h + +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/gengtype.o ../../src/gcc/gengtype.cc +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc +checking whether we are cross compiling... /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ + build/genhooks.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/genhooks "Target Hook" \ > tmp-target-hooks-def.h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h -/bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ +/bin/sh ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h -/bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ - common/common-target-hooks-def.h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h +/bin/sh ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ + common/common-target-hooks-def.h echo timestamp > s-target-hooks-def-h -echo timestamp > s-common-target-hooks-def-h -/bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ +/bin/sh ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h +echo timestamp > s-common-target-hooks-def-h +no build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h -cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi -echo timestamp > s-c-target-hooks-def-h -/bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ +checking for suffix of object files... echo timestamp > s-c-target-hooks-def-h +/bin/sh ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h -yes -checking whether x86_64-linux-gnu-gcc-12 accepts -g... echo timestamp > s-tm-texi -build/genversion > tmp-version.h -build/gengenrtl > tmp-genrtl.h -/bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h +cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi echo timestamp > s-d-target-hooks-def-h -/bin/bash ../../src/gcc/../move-if-change tmp-version.h version.h -echo timestamp > s-genrtl-h -echo timestamp > s-version -yes -checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... if [ xinfo = xinfo ]; then \ +echo timestamp > s-tm-texi +o +checking whether we are using the GNU C compiler... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gccint.info ../../src/gcc/doc/gccint.texi; \ fi +yes +checking whether x86_64-linux-gnu-gcc-12 accepts -g... yes +checking for x86_64-linux-gnu-gcc-12 option to accept ISO C89... /bin/sh ../../src/gcc/../move-if-change tmp-options.h options.h +echo timestamp > s-options-h none needed checking whether x86_64-linux-gnu-gcc-12 understands -c and -o together... gccint.texi: warning: document without nodes yes -checking how to run the C preprocessor... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/gengtype.o ../../src/gcc/gengtype.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc -x86_64-linux-gnu-gcc-12 -E +checking how to run the C preprocessor... x86_64-linux-gnu-gcc-12 -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E -checking for ANSI C header files... /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist -echo timestamp > s-options -gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ - -f ../../src/gcc/opth-gen.awk \ - < optionlist > tmp-options.h -gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ - -f ../../src/gcc/optc-save-gen.awk \ - -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc -gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ - -f ../../src/gcc/optc-gen.awk \ - -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc -yes -checking for sys/types.h... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ +checking for ANSI C header files... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ build/genmodes.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -yes build/genmodes -h > tmp-modes.h build/genmodes -i > tmp-modes-inline.h -checking for sys/stat.h... build/genmodes -m > tmp-min-modes.cc -/bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \ +build/genmodes -m > tmp-min-modes.cc +/bin/sh ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h +/bin/sh ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h -/bin/bash ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc -/bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h +/bin/sh ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc +echo timestamp > s-modes-h echo timestamp > s-modes-inline-h echo timestamp > s-modes-m -echo timestamp > s-modes-h build/genmodes > tmp-modes.cc -/bin/bash ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc +/bin/sh ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc yes -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +echo timestamp > s-modes +checking for sys/types.h... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/inchash.o ../../src/gcc/inchash.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genenums.o ../../src/gcc/genenums.cc -echo timestamp > s-modes x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmddeps.o ../../src/gcc/genmddeps.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-md.o ../../src/gcc/read-md.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconstants.o ../../src/gcc/genconstants.cc -checking for stdlib.h... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/min-insn-modes.o min-insn-modes.cc -/bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h -echo timestamp > s-options-h yes -ghdl.texi:18: warning: @definfoenclose is obsolete. +checking for sys/stat.h... yes +checking for stdlib.h... ghdl.texi:18: warning: @definfoenclose is obsolete. ghdl.texi:19: warning: @definfoenclose is obsolete. ghdl.texi:6856: warning: @footnote should not appear in @deffn ghdl.texi:6865: warning: @footnote should not appear in @deffn @@ -9767,6 +9802,7 @@ ghdl.texi:13353: warning: @footnote should not appear in @deffn ghdl.texi:13353: warning: @footnote should not appear in @deffn ghdl.texi:13411: warning: @footnote should not appear in @deffn +yes ghdl.texi:13436: warning: @footnote should not appear in @deffn ghdl.texi:13436: warning: @footnote should not appear in @deffn ghdl.texi:13436: warning: @footnote should not appear in @deffn @@ -10275,8 +10311,21 @@ checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking for style of include used by /usr/bin/make... GNU -checking whether /usr/bin/make supports nested variables... yes -checking dependency style of x86_64-linux-gnu-gcc-12... gcc3 +checking whether /usr/bin/make supports nested variables... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ + build/genmddeps.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ + build/genconstants.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +yes +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ + build/genenums.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +checking dependency style of x86_64-linux-gnu-gcc-12... build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-mddeps +/bin/sh ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk +echo timestamp > s-mddeps +build/genconstants ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ + > tmp-constants.h +gcc3 +build/genenums ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ + > tmp-enums.cc checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed @@ -10284,13 +10333,12 @@ checking for ld used by x86_64-linux-gnu-gcc-12... x86_64-linux-gnu-ld checking if the linker (x86_64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... x86_64-linux-gnu-nm -checking the name lister (x86_64-linux-gnu-nm) interface... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ - build/genmddeps.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ - build/genconstants.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ - build/genenums.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-mddeps +checking the name lister (x86_64-linux-gnu-nm) interface... /bin/sh ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h +/bin/sh ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc +echo timestamp > s-constants +echo timestamp > s-enums +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + -o build/gencheck.o ../../src/gcc/gencheck.cc BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 @@ -10302,30 +10350,26 @@ checking for x86_64-linux-gnu-ar... x86_64-linux-gnu-ar checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking for x86_64-linux-gnu-ranlib... x86_64-linux-gnu-ranlib -checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... /bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk -echo timestamp > s-mddeps -build/genconstants ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ - > tmp-constants.h -build/genenums ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ - > tmp-enums.cc -/bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h -/bin/bash ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc -echo timestamp > s-constants -echo timestamp > s-enums -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ - -o build/gencheck.o ../../src/gcc/gencheck.cc +checking command to parse x86_64-linux-gnu-nm output from x86_64-linux-gnu-gcc-12 object... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ + build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +build/gengtype \ + -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state ok checking for dlfcn.h... yes checking for objdir... .libs -checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... no -checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC -checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... yes -checking if x86_64-linux-gnu-gcc-12 static flag -static works... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ build/gencheck.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencheck > tmp-check.h -/bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h +/bin/sh ../../src/gcc/../move-if-change tmp-check.h tree-check.h +echo timestamp > s-check +checking if x86_64-linux-gnu-gcc-12 supports -fno-rtti -fno-exceptions... /bin/sh ../../src/gcc/../move-if-change tmp-gtype.state gtype.state +no +checking for x86_64-linux-gnu-gcc-12 option to produce PIC... -fPIC -DPIC +checking if x86_64-linux-gnu-gcc-12 PIC flag -fPIC -DPIC works... build/gengtype \ + -r gtype.state yes -checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... echo timestamp > s-check +checking if x86_64-linux-gnu-gcc-12 static flag -static works... yes +checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... echo timestamp > s-gtype yes checking if x86_64-linux-gnu-gcc-12 supports -c -o file.o... (cached) yes checking whether the x86_64-linux-gnu-gcc-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes @@ -10338,30 +10382,8 @@ checking whether to build static libraries... no checking whether we are using the GNU C++ compiler... yes checking whether x86_64-linux-gnu-g++-12 accepts -g... yes -checking dependency style of x86_64-linux-gnu-g++-12... x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ - build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -gcc3 -checking how to run the C++ preprocessor... build/gengtype \ - -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state -x86_64-linux-gnu-g++-12 -E -checking for ld used by x86_64-linux-gnu-g++-12... x86_64-linux-gnu-ld -m elf_x86_64 -checking if the linker (x86_64-linux-gnu-ld -m elf_x86_64) is GNU ld... yes -checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes -checking for x86_64-linux-gnu-g++-12 option to produce PIC... -fPIC -DPIC -checking if x86_64-linux-gnu-g++-12 PIC flag -fPIC -DPIC works... yes -checking if x86_64-linux-gnu-g++-12 static flag -static works... yes -checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... yes -checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... (cached) yes -checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes -checking dynamic linker characteristics... (cached) GNU/Linux ld.so -checking how to hardcode library paths into programs... immediate -checking for CET support... /bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state -build/gengtype \ - -r gtype.state -yes -checking whether basename is declared... echo timestamp > s-gtype -yes -checking whether x86_64-linux-gnu-gcc-12 supports -W... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +checking dependency style of x86_64-linux-gnu-g++-12... gcc3 +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genopinit.o ../../src/gcc/genopinit.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc @@ -10369,7 +10391,7 @@ -o build/genattrtab.o ../../src/gcc/genattrtab.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genautomata.o ../../src/gcc/genautomata.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +checking how to run the C++ preprocessor... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genemit.o ../../src/gcc/genemit.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genextract.o ../../src/gcc/genextract.cc @@ -10379,16 +10401,19 @@ -o build/genpeep.o ../../src/gcc/genpeep.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genrecog.o ../../src/gcc/genrecog.cc -yes -checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes -checking for x86_64-linux-gnu-objdump... /usr/bin/x86_64-linux-gnu-objdump -checking what objdump to use... /usr/bin/x86_64-linux-gnu-objdump -checking for socket libraries... checking for connect... yes -checking for gethostbyname... yes - -checking for exported symbols... yes -checking for -rdynamic... yes -checking for library containing dlopen... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +x86_64-linux-gnu-g++-12 -E +checking for ld used by x86_64-linux-gnu-g++-12... x86_64-linux-gnu-ld -m elf_x86_64 +checking if the linker (x86_64-linux-gnu-ld -m elf_x86_64) is GNU ld... yes +checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes +checking for x86_64-linux-gnu-g++-12 option to produce PIC... -fPIC -DPIC +checking if x86_64-linux-gnu-g++-12 PIC flag -fPIC -DPIC works... yes +checking if x86_64-linux-gnu-g++-12 static flag -static works... yes +checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... yes +checking if x86_64-linux-gnu-g++-12 supports -c -o file.o... (cached) yes +checking whether the x86_64-linux-gnu-g++-12 linker (x86_64-linux-gnu-ld -m elf_x86_64) supports shared libraries... yes +checking dynamic linker characteristics... (cached) GNU/Linux ld.so +checking how to hardcode library paths into programs... immediate +checking for CET support... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpreds.o ../../src/gcc/genpreds.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rtl.o ../../src/gcc/rtl.cc @@ -10400,50 +10425,57 @@ -o build/vec.o ../../src/gcc/vec.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gensupport.o ../../src/gcc/gensupport.cc -none required -checking for -fPIC -shared... yes -checking for socketpair... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/print-rtl.o ../../src/gcc/print-rtl.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/hash-table.o ../../src/gcc/hash-table.cc yes -checking for select... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +checking whether basename is declared... yes +checking whether x86_64-linux-gnu-gcc-12 supports -W... yes +checking whether x86_64-linux-gnu-gcc-12 supports -Wall... yes +checking for x86_64-linux-gnu-objdump... /usr/bin/x86_64-linux-gnu-objdump +checking what objdump to use... /usr/bin/x86_64-linux-gnu-objdump +checking for socket libraries... checking for connect... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genflags.o ../../src/gcc/genflags.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +yes +checking for gethostbyname... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconditions.o ../../src/gcc/genconditions.cc x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr.o ../../src/gcc/genattr.cc yes -checking for fork... yes -configure: updating cache ./config.cache -checking that generated files are newer than configure... done -configure: creating ./config.status -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ + +checking for exported symbols... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr-common.o ../../src/gcc/genattr-common.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +yes +checking for -rdynamic... yes +checking for library containing dlopen... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencodes.o ../../src/gcc/gencodes.cc -x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ +none required +checking for -fPIC -shared... yes +checking for socketpair... yes +checking for select... yes +checking for fork... x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconfig.o ../../src/gcc/genconfig.cc +yes x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.cc +configure: updating cache ./config.cache +checking that generated files are newer than configure... done +configure: creating ./config.status x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h -/bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ - case-cfn-macros.h -echo timestamp > s-case-cfn-macros build/gencfn-macros -o \ > tmp-cfn-operators.pd -/bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ +/bin/sh ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ + case-cfn-macros.h +/bin/sh ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd +echo timestamp > s-case-cfn-macros echo timestamp > s-cfn-operators x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmatch.o ../../src/gcc/genmatch.cc -config.status: creating Makefile -config.status: creating cc1plugin-config.h -config.status: executing depfiles commands -config.status: executing libtool commands x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genflags \ @@ -10462,8 +10494,6 @@ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ - build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genextract \ @@ -10476,26 +10506,30 @@ build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-preds.h build/genconditions ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-condmd.cc build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-constrs.h -/bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h -echo timestamp > s-preds-h -/bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h -echo timestamp > s-constrs-h -x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ - build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -/bin/bash ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ + build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +config.status: creating Makefile +config.status: creating cc1plugin-config.h +config.status: executing depfiles commands +config.status: executing libtool commands +/bin/sh ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc echo timestamp > s-preds -/bin/bash ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc +/bin/sh ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc echo timestamp > s-conditions +/bin/sh ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h +echo timestamp > s-preds-h +/bin/sh ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h +echo timestamp > s-constrs-h x86_64-linux-gnu-g++-12 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencondmd.o build/gencondmd.cc +x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ + build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-x86_64-linux-gnu/libiberty/libiberty.a x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a -lm -ghdl.texi:555: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text -ghdl.texi:56890: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-x86_64-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md -/bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md +/bin/sh ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-flags.h @@ -10525,73 +10559,75 @@ insn-conditions.md > tmp-peep.cc build/genrecog ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-recog.cc +ghdl.texi:555: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text +ghdl.texi:56890: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text +/bin/sh ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc +echo timestamp > s-extract x86_64-linux-gnu-g++-12 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmatch \ build/genmatch.o ../build-x86_64-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-x86_64-linux-gnu/libiberty/libiberty.a +/bin/sh ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h +echo timestamp > s-target-def build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.cc +/bin/sh ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h +/bin/sh ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc +echo timestamp > s-opinit +/bin/sh ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h +/bin/sh ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h +echo timestamp > s-attr +echo timestamp > s-attr-common +/bin/sh ../../src/gcc/../move-if-change tmp-config.h insn-config.h +echo timestamp > s-config GIMPLE decision tree has 4127 leafs, maximum depth 28 and a total number of 17761 nodes removed 2724 duplicate tails +/bin/sh ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h +echo timestamp > s-codes build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.cc +/bin/sh ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc +echo timestamp > s-peep +/bin/sh ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h GENERIC decision tree has 3770 leafs, maximum depth 13 and a total number of 15889 nodes +/bin/sh ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc +echo timestamp > s-flags removed 2560 duplicate tails -/bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.cc \ +echo timestamp > s-emit +/bin/sh ../../src/gcc/../move-if-change tmp-gimple-match.cc \ gimple-match.cc -/bin/bash ../../src/gcc/../move-if-change tmp-generic-match.cc \ +/bin/sh ../../src/gcc/../move-if-change tmp-generic-match.cc \ generic-match.cc echo timestamp > s-match -/bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h -echo timestamp > s-flags -/bin/bash ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc -/bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h -echo timestamp > s-extract -echo timestamp > s-codes -/bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h -/bin/bash ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc -echo timestamp > s-opinit -/bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h -echo timestamp > s-target-def -/bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h -echo timestamp > s-config -/bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h -echo timestamp > s-attr -/bin/bash ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc -/bin/bash ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc -echo timestamp > s-peep -echo timestamp > s-emit -/bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h -echo timestamp > s-attr-common -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc Statistics for recog: Number of decisions: 68532 longest path: 337 (code: 6968) longest backtrack: 34 (code: 5866) +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc Statistics for split_insns: Number of decisions: 19767 longest path: 169 (code: 1078) longest backtrack: 23 (code: 709) +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc Statistics for peephole2_insns: Number of decisions: 2960 longest path: 880 (code: 197) longest backtrack: 55 (code: 303) +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc Shared 69645 out of 121921 states by creating 12116 new states, saving 57529 -/bin/bash ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc +/bin/sh ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc echo timestamp > s-recog -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc @@ -10600,8 +10636,6 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"x86_64-pc-linux-gnu\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc -/bin/bash ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc -echo timestamp > s-output x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc @@ -10610,6 +10644,8 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc +/bin/sh ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc +echo timestamp > s-output x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-c.o -MT i386-c.o -MMD -MP -MF ./.deps/i386-c.TPo ../../src/gcc/config/i386/i386-c.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.cc @@ -10639,14 +10675,14 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc -/bin/bash ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc -echo timestamp > s-automata x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc +/bin/sh ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc +echo timestamp > s-automata x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc @@ -10680,10 +10716,6 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc -/bin/bash ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc -/bin/bash ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc -/bin/bash ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc -echo timestamp > s-attrtab x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc @@ -10704,6 +10736,10 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc +/bin/sh ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc +/bin/sh ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc +/bin/sh ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc +echo timestamp > s-attrtab x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc @@ -10722,6 +10758,9 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc ../../src/gcc/expmed.cc: In function 'rtx_def* extract_bit_field_1(rtx, poly_uint64, poly_uint64, int, rtx, machine_mode, machine_mode, bool, bool, rtx_def**)': ../../src/gcc/expmed.cc:1832:45: warning: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' may be used uninitialized [-Wmaybe-uninitialized] 1832 | rtx sub = extract_bit_field_as_subreg (mode1, op0, imode, @@ -10731,12 +10770,13 @@ ../../src/gcc/expmed.cc:1792:19: note: '*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' was declared here 1792 | scalar_int_mode imode; | ^~~~~ -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc In file included from ../../src/gcc/coretypes.h:478, from ../../src/gcc/expmed.cc:26: In function 'poly_uint16 mode_to_bytes(machine_mode)', @@ -10749,10 +10789,6 @@ ../../src/gcc/expmed.cc:5661:19: note: '*(unsigned int*)((char*)&int_mode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))' was declared here 5661 | scalar_int_mode int_mode; | ^~~~~~~~ -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc @@ -11081,9 +11117,6 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::saved_diagnostic::dump_as_dot_node(pretty_printer*) const': ../../src/gcc/analyzer/diagnostic-manager.cc:783:28: warning: unknown conversion type character 'E' in format [-Wformat=] 783 | pp_printf (pp, "var: %qE\n", m_var); @@ -11091,6 +11124,9 @@ ../../src/gcc/analyzer/diagnostic-manager.cc:783:20: warning: too many arguments for format [-Wformat-extra-args] 783 | pp_printf (pp, "var: %qE\n", m_var); | ^~~~~~~~~~~~ +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc @@ -11162,25 +11198,25 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ + -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c ../../src/gcc/../libgcc/libgcov-util.c: In function 'gcov_info* gcov_read_profile_dir(const char*, int)': ../../src/gcc/../libgcc/libgcov-util.c:465:9: warning: ignoring return value of 'int chdir(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 465 | chdir (pwd); | ~~~~~~^~~~~ -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ - -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c x86_64-linux-gnu-g++-12 -fno-PIE -c -DTARGET_MACHINE=\"x86_64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc ../../src/gcc/lto/lto-common.cc: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': ../../src/gcc/lto/lto-common.cc:2075:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 2075 | fscanf (resolution, " "); /* Read white space. */ | ~~~~~~~^~~~~~~~~~~~~~~~~ +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc ../../src/gcc/lto/lto-common.cc:2077:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)' declared with attribute 'warn_unused_result' [-Wunused-result] 2077 | fread (obj_name, sizeof (char), name_len, resolution); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2097:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 2097 | fscanf (resolution, "%u", &num_symbols); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc @@ -11189,6 +11225,12 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc +../../src/gcc/lto-wrapper.cc: In function 'bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)': +../../src/gcc/lto-wrapper.cc:1138:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] + 1138 | read (fd, data, length); + | ~~~~~^~~~~~~~~~~~~~~~~~ +x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc +x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"12.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' @@ -11196,12 +11238,6 @@ gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /home/rguenther/src/releases/gcc-12.2.0/gcc-12.2.0/gcc/gengtype-lex.l:135:1: note: here -../../src/gcc/lto-wrapper.cc: In function 'bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)': -../../src/gcc/lto-wrapper.cc:1138:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] - 1138 | read (fd, data, length); - | ~~~~~^~~~~~~~~~~~~~~~~~ -x86_64-linux-gnu-g++-12 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc -x86_64-linux-gnu-g++-12 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DDEFAULT_TARGET_VERSION=\"12\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/../../../../x86_64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"12.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc ../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | @@ -11213,10 +11249,12 @@ x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc rm -rf libcommon-target.a x86_64-linux-gnu-ar rc libcommon-target.a i386-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o +rm -rf libcommon.a ../../src/gcc/gcc.cc: In function 'long unsigned int get_random_number()': ../../src/gcc/gcc.cc:10902:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 10902 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +x86_64-linux-gnu-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o ../../src/gcc/gcc.cc: In function 'void do_report_bug(const char**, int, char**, char**)': ../../src/gcc/gcc.cc:8090:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 8090 | write (fd, "\n//", 3); @@ -11237,11 +11275,9 @@ 8129 | system (cmd); | ~~~~~~~^~~~~ x86_64-linux-gnu-ranlib libcommon-target.a -rm -rf libcommon.a -x86_64-linux-gnu-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o +x86_64-linux-gnu-ranlib libcommon.a x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc -x86_64-linux-gnu-ranlib libcommon.a x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-dump.o \ @@ -11264,16 +11300,26 @@ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov +x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -x86_64-linux-gnu-g++-12 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars +echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars +echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars +echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars +if test yes = yes; then \ + NO_PIE_CFLAGS="-fno-PIE"; \ +else \ + NO_PIE_CFLAGS=; \ +fi; \ +echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars +mv tmp-libgcc.mvars libgcc.mvars if /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ @@ -11289,17 +11335,7 @@ else \ echo ";"; \ fi > tmp-fixinc_list -echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars -echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars -/bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list -echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars -if test yes = yes; then \ - NO_PIE_CFLAGS="-fno-PIE"; \ -else \ - NO_PIE_CFLAGS=; \ -fi; \ -echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars -mv tmp-libgcc.mvars libgcc.mvars +/bin/sh ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo timestamp > s-fixinc_list rm -rf libbackend.a x86_64-linux-gnu-ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-equiv.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o xcoffout.o i386.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/call-info.o analyzer/call-string.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-impl-calls.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/trimmed-graph.o x86-tune-sched.o x86-tune-sched-bd.o x86-tune-sched-atom.o x86-tune-sched-core.o i386-options.o i386-builtins.o i386-expand.o i386-features.o linux.o gnu-property.o host-linux.o @@ -11315,14 +11351,17 @@ lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto-dump \ lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -gnatmake -v -j15 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC +x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. "ortho_gcc-main.ali" being checked ... -> "ortho_gcc-main.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb +x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o \ + cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "ortho_gcc.ali" being checked ... -> "ortho_gcc.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb @@ -11332,12 +11371,9 @@ "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb -x86_64-linux-gnu-g++-12 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb -x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o \ - cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb @@ -11410,12 +11446,12 @@ "simple_io.ali" being checked ... -> "simple_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb - "version.ali" being checked ... - -> "version.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads + "version.ali" being checked ... + -> "version.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb @@ -11461,9 +11497,12 @@ "logging.ali" being checked ... -> "logging.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb - "tables.ali" being checked ... - -> "tables.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb + "psl-types.ali" being checked ... + -> "psl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads + "vhdl-nodes_meta.ali" being checked ... + -> "vhdl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb @@ -11494,12 +11533,21 @@ "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb - "psl-types.ali" being checked ... - -> "psl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads - "vhdl-tokens.ali" being checked ... - -> "vhdl-tokens.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb + "tables.ali" being checked ... + -> "tables.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb + "vhdl-nodes_utils.ali" being checked ... + -> "vhdl-nodes_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb + "vhdl-sem_utils.ali" being checked ... + -> "vhdl-sem_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb + "psl-errors.ali" being checked ... + -> "psl-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb + "psl-nodes_meta.ali" being checked ... + -> "psl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb @@ -11509,21 +11557,21 @@ "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb - "vhdl-nodes_meta.ali" being checked ... - -> "vhdl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb - "psl-errors.ali" being checked ... - -> "psl-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb - "psl-nodes_meta.ali" being checked ... - -> "psl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb + "vhdl-tokens.ali" being checked ... + -> "vhdl-tokens.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb + "psl-nodes_priv.ali" being checked ... + -> "psl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads + "lists.ali" being checked ... + -> "lists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb + "vhdl-types.ali" being checked ... + -> "vhdl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb - "vhdl-nodes_utils.ali" being checked ... - -> "vhdl-nodes_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb @@ -11545,12 +11593,12 @@ "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb - "vhdl-sem_utils.ali" being checked ... - -> "vhdl-sem_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb + "psl-hash.ali" being checked ... + -> "psl-hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb @@ -11566,18 +11614,6 @@ "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb - "lists.ali" being checked ... - -> "lists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb - "vhdl-types.ali" being checked ... - -> "vhdl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads - "psl-nodes_priv.ali" being checked ... - -> "psl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads - "psl-hash.ali" being checked ... - -> "psl-hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads @@ -11611,10 +11647,6 @@ "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads - "vhdl-elocations_meta.ali" being checked ... - -> "vhdl-elocations_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb -trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads @@ -11624,15 +11656,19 @@ "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb - "vhdl-sem_types.ali" being checked ... - -> "vhdl-sem_types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb + "vhdl-elocations_meta.ali" being checked ... + -> "vhdl-elocations_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb +trans-rtis.adb:24:06: warning: unnecessary with of ancestor [-gnatwr] "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb + "vhdl-sem_types.ali" being checked ... + -> "vhdl-sem_types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb @@ -11648,21 +11684,18 @@ "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb + "trans-foreach_non_composite.ali" being checked ... + -> "trans-foreach_non_composite.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb - "trans-foreach_non_composite.ali" being checked ... - -> "trans-foreach_non_composite.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads @@ -11672,23 +11705,17 @@ "grt-types.ali" being checked ... -> "grt-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads - "grt-algos.ali" being checked ... - -> "grt-algos.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb - "trans_analyzes.ali" being checked ... - -> "trans_analyzes.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb - "vhdl-flists.ali" being checked ... - -> "vhdl-flists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads echo | /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list -/bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list +/bin/sh ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed @@ -11708,14 +11735,14 @@ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ - /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ + /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='x86_64-pc-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ - SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ + SHELL='/bin/sh'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-x86_64-linux-gnu/fixincludes && \ - /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \ + /bin/sh ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ rm -f ${fix_dir}/syslimits.h; \ if [ -f ${fix_dir}/limits.h ]; then \ @@ -11726,20 +11753,30 @@ chmod a+r ${fix_dir}/syslimits.h; \ done; \ fi + "grt-algos.ali" being checked ... + -> "grt-algos.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb Fixing headers into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed for x86_64-pc-linux-gnu target Forbidden identifiers: linux unix Finding directories and links to directories Searching /usr/include/. Searching /usr/include/./llvm-c Searching /usr/include/./llvm - "flists.ali" being checked ... - -> "flists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb Searching /usr/include/./clang/14.0.6/include Searching /usr/include/./clang/14/include Making symbolic directory links + "trans_analyzes.ali" being checked ... + -> "trans_analyzes.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb +Fixing directory /usr/include into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed + "vhdl-flists.ali" being checked ... + -> "vhdl-flists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads + "flists.ali" being checked ... + -> "flists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb End of compilation -gnatmake -v -j15 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ @@ -11872,9 +11909,8 @@ End of compilation "ghdl1" missing. x86_64-linux-gnu-gnatbind-12 -aI../../src/gcc/vhdl -aOvhdl -E -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -Fixing directory /usr/include into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed -x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R Applying io_quotes_def to llvm-14/llvm/Demangle/ItaniumDemangle.h +x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R Applying io_quotes_def to unicode/platform.h Applying machine_name to x86_64-linux-gnu/bits/statx.h Applying machine_name to x86_64-linux-gnu/bits/unistd_ext.h @@ -11983,84 +12019,20 @@ Fixing directory /usr/include/llvm-c into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm-c Fixing directory /usr/include/llvm into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/include/llvm Applying io_quotes_def to llvm/Demangle/ItaniumDemangle.h +gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ + -aIvhdl -aOvhdl ghdl_gcc \ + -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ + -bargs -E \ + -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ + vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a + +GNATMAKE 12.2.0 +Copyright (C) 1992-2022, Free Software Foundation, Inc. + -> "ghdl" final executable + "ghdl_gcc.ali" being checked ... + -> "ghdl_gcc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb Fixing directory /usr/include/clang/14.0.6/include into /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-14/lib/clang/14.0.6/include -Cleaning up unneeded directories: -fixincludes is done -echo timestamp > stmp-fixinc -if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi -if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi -for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/i386/cpuid.h ../../src/gcc/config/i386/mmintrin.h ../../src/gcc/config/i386/mm3dnow.h ../../src/gcc/config/i386/xmmintrin.h ../../src/gcc/config/i386/emmintrin.h ../../src/gcc/config/i386/pmmintrin.h ../../src/gcc/config/i386/tmmintrin.h ../../src/gcc/config/i386/ammintrin.h ../../src/gcc/config/i386/smmintrin.h ../../src/gcc/config/i386/nmmintrin.h ../../src/gcc/config/i386/bmmintrin.h ../../src/gcc/config/i386/fma4intrin.h ../../src/gcc/config/i386/wmmintrin.h ../../src/gcc/config/i386/immintrin.h ../../src/gcc/config/i386/x86intrin.h ../../src/gcc/config/i386/avxintrin.h ../../src/gcc/config/i386/xopintrin.h ../../src/gcc/config/i386/ia32intrin.h ../../src/gcc/config/i386/cross-stdarg.h ../../src/gcc/config/i386/lwpintrin.h ../../src/gcc/config/i386/popcntintrin.h ../../src/gcc/config/i386/lzcntintrin.h ../../src/gcc/config/i386/bmiintrin.h ../../src/gcc/config/i386/bmi2intrin.h ../../src/gcc/config/i386/tbmintrin.h ../../src/gcc/config/i386/avx2intrin.h ../../src/gcc/config/i386/avx512fintrin.h ../../src/gcc/config/i386/fmaintrin.h ../../src/gcc/config/i386/f16cintrin.h ../../src/gcc/config/i386/rtmintrin.h ../../src/gcc/config/i386/xtestintrin.h ../../src/gcc/config/i386/rdseedintrin.h ../../src/gcc/config/i386/prfchwintrin.h ../../src/gcc/config/i386/adxintrin.h ../../src/gcc/config/i386/fxsrintrin.h ../../src/gcc/config/i386/xsaveintrin.h ../../src/gcc/config/i386/xsaveoptintrin.h ../../src/gcc/config/i386/avx512cdintrin.h ../../src/gcc/config/i386/avx512erintrin.h ../../src/gcc/config/i386/avx512pfintrin.h ../../src/gcc/config/i386/shaintrin.h ../../src/gcc/config/i386/clflushoptintrin.h ../../src/gcc/config/i386/xsavecintrin.h ../../src/gcc/config/i386/xsavesintrin.h ../../src/gcc/config/i386/avx512dqintrin.h ../../src/gcc/config/i386/avx512bwintrin.h ../../src/gcc/config/i386/avx512vlintrin.h ../../src/gcc/config/i386/avx512vlbwintrin.h ../../src/gcc/config/i386/avx512vldqintrin.h ../../src/gcc/config/i386/avx512ifmaintrin.h ../../src/gcc/config/i386/avx512ifmavlintrin.h ../../src/gcc/config/i386/avx512vbmiintrin.h ../../src/gcc/config/i386/avx512vbmivlintrin.h ../../src/gcc/config/i386/avx5124fmapsintrin.h ../../src/gcc/config/i386/avx5124vnniwintrin.h ../../src/gcc/config/i386/avx512vpopcntdqintrin.h ../../src/gcc/config/i386/clwbintrin.h ../../src/gcc/config/i386/mwaitxintrin.h ../../src/gcc/config/i386/clzerointrin.h ../../src/gcc/config/i386/pkuintrin.h ../../src/gcc/config/i386/sgxintrin.h ../../src/gcc/config/i386/cetintrin.h ../../src/gcc/config/i386/gfniintrin.h ../../src/gcc/config/i386/cet.h ../../src/gcc/config/i386/avx512vbmi2intrin.h ../../src/gcc/config/i386/avx512vbmi2vlintrin.h ../../src/gcc/config/i386/avx512vnniintrin.h ../../src/gcc/config/i386/avx512vnnivlintrin.h ../../src/gcc/config/i386/vaesintrin.h ../../src/gcc/config/i386/vpclmulqdqintrin.h ../../src/gcc/config/i386/avx512vpopcntdqvlintrin.h ../../src/gcc/config/i386/avx512bitalgintrin.h ../../src/gcc/config/i386/pconfigintrin.h ../../src/gcc/config/i386/wbnoinvdintrin.h ../../src/gcc/config/i386/movdirintrin.h ../../src/gcc/config/i386/waitpkgintrin.h ../../src/gcc/config/i386/cldemoteintrin.h ../../src/gcc/config/i386/avx512bf16vlintrin.h ../../src/gcc/config/i386/avx512bf16intrin.h ../../src/gcc/config/i386/enqcmdintrin.h ../../src/gcc/config/i386/serializeintrin.h ../../src/gcc/config/i386/avx512vp2intersectintrin.h ../../src/gcc/config/i386/avx512vp2intersectvlintrin.h ../../src/gcc/config/i386/tsxldtrkintrin.h ../../src/gcc/config/i386/amxtileintrin.h ../../src/gcc/config/i386/amxint8intrin.h ../../src/gcc/config/i386/amxbf16intrin.h ../../src/gcc/config/i386/x86gprintrin.h ../../src/gcc/config/i386/uintrintrin.h ../../src/gcc/config/i386/hresetintrin.h ../../src/gcc/config/i386/keylockerintrin.h ../../src/gcc/config/i386/avxvnniintrin.h ../../src/gcc/config/i386/mwaitintrin.h ../../src/gcc/config/i386/avx512fp16intrin.h ../../src/gcc/config/i386/avx512fp16vlintrin.h mm_malloc.h; do \ - if [ X$file != X.. ]; then \ - realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ - echo timestamp > include/$realfile; \ - rm -f include/$realfile; \ - cp $file include; \ - chmod a+r include/$realfile; \ - fi; \ -done -for file in .. ; do \ - if [ X$file != X.. ]; then \ - mv include/$file include/x_$file; \ - echo "#include_next <$file>" >include/$file; \ - cat include/x_$file >>include/$file; \ - rm -f include/x_$file; \ - chmod a+r include/$file; \ - fi; \ -done -for file in .. ; do \ - if [ X$file != X.. ]; then \ - echo "#include_next <$file>" >>include/$file; \ - chmod a+r include/$file; \ - fi; \ -done -rm -f include/stdint.h -if [ wrap = wrap ]; then \ - rm -f include/stdint-gcc.h; \ - cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ - chmod a+r include/stdint-gcc.h; \ - cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ - chmod a+r include/stdint.h; \ -elif [ wrap = provide ]; then \ - cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ - chmod a+r include/stdint.h; \ -fi -set -e; for ml in `cat fixinc_list`; do \ - sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ - multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ - fix_dir=include-fixed${multi_dir}; \ - if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/x86_64-linux-gnu/limits.h ] ; then \ - cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ - else \ - cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ - fi; \ - /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ - chmod a+rx ${fix_dir} || true; \ - /bin/bash ../../src/gcc/../move-if-change \ - tmp-xlimits.h tmp-limits.h; \ - rm -f ${fix_dir}/limits.h; \ - cp -p tmp-limits.h ${fix_dir}/limits.h; \ - chmod a+r ${fix_dir}/limits.h; \ -done -rm -f include-fixed/README -cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README -chmod a+r include-fixed/README -echo timestamp > stmp-int-hdrs -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests -cc1: note: self-tests are not enabled in this build -echo timestamp > s-selftest-c -gnatmake -v -j15 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ - -aIvhdl -aOvhdl ghdl_gcc \ - -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ - -bargs -E \ - -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ - vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a - -GNATMAKE 12.2.0 -Copyright (C) 1992-2022, Free Software Foundation, Inc. - -> "ghdl" final executable - "ghdl_gcc.ali" being checked ... - -> "ghdl_gcc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb @@ -12085,59 +12057,10 @@ "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "default_paths.ali" being checked ... -> "default_paths.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/default_paths.ads - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "elab.ali" being checked ... -> "elab.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab.ads @@ -12150,10 +12073,15 @@ "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "netlists.ali" being checked ... -> "netlists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb @@ -12176,29 +12104,56 @@ "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb +netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads -netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "vhdl-annotations.ali" being checked ... -> "vhdl-annotations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-annotations.adb "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... + "netlists-locations.ali" being checked ... + -> "netlists-locations.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb @@ -12208,13 +12163,75 @@ "utils_io.ali" being checked ... -> "utils_io.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb - "netlists-locations.ali" being checked ... - -> "netlists-locations.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads + "netlists-folds.ali" being checked ... + -> "netlists-folds.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb + "netlists-gates.ali" being checked ... + -> "netlists-gates.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads + "netlists-gates_ports.ali" being checked ... + -> "netlists-gates_ports.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb + "netlists-internings.ali" being checked ... + -> "netlists-internings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb + "netlists-memories.ali" being checked ... + -> "netlists-memories.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb + "synth-errors.ali" being checked ... + -> "synth-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb + "netlists-builders.ali" being checked ... + -> "netlists-builders.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb + "elab-vhdl_values.ali" being checked ... + -> "elab-vhdl_values.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb + "elab-vhdl_values-debug.ali" being checked ... + -> "elab-vhdl_values-debug.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb + "netlists-cleanup.ali" being checked ... + -> "netlists-cleanup.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb + "netlists-expands.ali" being checked ... + -> "netlists-expands.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb + "synth-vhdl_insts.ali" being checked ... + -> "synth-vhdl_insts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb + "synth-context.ali" being checked ... + -> "synth-context.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.ads + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... +Cleaning up unneeded directories: + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb @@ -12233,23 +12250,15 @@ "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb - "elab-vhdl_values.ali" being checked ... - -> "elab-vhdl_values.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb - "netlists-gates.ali" being checked ... - -> "netlists-gates.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... + "synth-vhdl_expr.ali" being checked ... + -> "synth-vhdl_expr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb + "types_utils.ali" being checked ... + -> "types_utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb + "synth-vhdl_environment.ali" being checked ... + -> "synth-vhdl_environment.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb "mutils.ali" being checked ... -> "mutils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb @@ -12259,56 +12268,18 @@ "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-memtype.adb - "elab-vhdl_values-debug.ali" being checked ... - -> "elab-vhdl_values-debug.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb - "netlists-cleanup.ali" being checked ... - -> "netlists-cleanup.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb - "netlists-expands.ali" being checked ... - -> "netlists-expands.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb - "netlists-memories.ali" being checked ... - -> "netlists-memories.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb - "synth-vhdl_insts.ali" being checked ... - -> "synth-vhdl_insts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb - "netlists-builders.ali" being checked ... - -> "netlists-builders.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb - "synth-context.ali" being checked ... - -> "synth-context.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.ads - "netlists-folds.ali" being checked ... - -> "netlists-folds.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb - "netlists-gates_ports.ali" being checked ... - -> "netlists-gates_ports.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb - "netlists-internings.ali" being checked ... - -> "netlists-internings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb - "synth-errors.ali" being checked ... - -> "synth-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... - "synth-vhdl_expr.ali" being checked ... - -> "synth-vhdl_expr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb - "types_utils.ali" being checked ... - -> "types_utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb - "synth-vhdl_environment.ali" being checked ... - -> "synth-vhdl_environment.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... @@ -12316,12 +12287,23 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... + "netlists-butils.ali" being checked ... + -> "netlists-butils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb + "netlists-concats.ali" being checked ... + -> "netlists-concats.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb - "grt-stdio.ali" being checked ... - -> "grt-stdio.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... @@ -12329,27 +12311,44 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... + "grt-stdio.ali" being checked ... + -> "grt-stdio.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads - "netlists-butils.ali" being checked ... - -> "netlists-butils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb - "netlists-concats.ali" being checked ... - -> "netlists-concats.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb + "vhdl-formatters.ali" being checked ... + -> "vhdl-formatters.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-debugger.adb + "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... + "synth-source.ali" being checked ... + -> "synth-source.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb + "synth-vhdl_decls.ali" being checked ... + -> "synth-vhdl_decls.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb + "synth-vhdl_stmts.ali" being checked ... + -> "synth-vhdl_stmts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb +fixincludes is done +echo timestamp > stmp-fixinc +if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi +if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi +for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/i386/cpuid.h ../../src/gcc/config/i386/mmintrin.h ../../src/gcc/config/i386/mm3dnow.h ../../src/gcc/config/i386/xmmintrin.h ../../src/gcc/config/i386/emmintrin.h ../../src/gcc/config/i386/pmmintrin.h ../../src/gcc/config/i386/tmmintrin.h ../../src/gcc/config/i386/ammintrin.h ../../src/gcc/config/i386/smmintrin.h ../../src/gcc/config/i386/nmmintrin.h ../../src/gcc/config/i386/bmmintrin.h ../../src/gcc/config/i386/fma4intrin.h ../../src/gcc/config/i386/wmmintrin.h ../../src/gcc/config/i386/immintrin.h ../../src/gcc/config/i386/x86intrin.h ../../src/gcc/config/i386/avxintrin.h ../../src/gcc/config/i386/xopintrin.h ../../src/gcc/config/i386/ia32intrin.h ../../src/gcc/config/i386/cross-stdarg.h ../../src/gcc/config/i386/lwpintrin.h ../../src/gcc/config/i386/popcntintrin.h ../../src/gcc/config/i386/lzcntintrin.h ../../src/gcc/config/i386/bmiintrin.h ../../src/gcc/config/i386/bmi2intrin.h ../../src/gcc/config/i386/tbmintrin.h ../../src/gcc/config/i386/avx2intrin.h ../../src/gcc/config/i386/avx512fintrin.h ../../src/gcc/config/i386/fmaintrin.h ../../src/gcc/config/i386/f16cintrin.h ../../src/gcc/config/i386/rtmintrin.h ../../src/gcc/config/i386/xtestintrin.h ../../src/gcc/config/i386/rdseedintrin.h ../../src/gcc/config/i386/prfchwintrin.h ../../src/gcc/config/i386/adxintrin.h ../../src/gcc/config/i386/fxsrintrin.h ../../src/gcc/config/i386/xsaveintrin.h ../../src/gcc/config/i386/xsaveoptintrin.h ../../src/gcc/config/i386/avx512cdintrin.h ../../src/gcc/config/i386/avx512erintrin.h ../../src/gcc/config/i386/avx512pfintrin.h ../../src/gcc/config/i386/shaintrin.h ../../src/gcc/config/i386/clflushoptintrin.h ../../src/gcc/config/i386/xsavecintrin.h ../../src/gcc/config/i386/xsavesintrin.h ../../src/gcc/config/i386/avx512dqintrin.h ../../src/gcc/config/i386/avx512bwintrin.h ../../src/gcc/config/i386/avx512vlintrin.h ../../src/gcc/config/i386/avx512vlbwintrin.h ../../src/gcc/config/i386/avx512vldqintrin.h ../../src/gcc/config/i386/avx512ifmaintrin.h ../../src/gcc/config/i386/avx512ifmavlintrin.h ../../src/gcc/config/i386/avx512vbmiintrin.h ../../src/gcc/config/i386/avx512vbmivlintrin.h ../../src/gcc/config/i386/avx5124fmapsintrin.h ../../src/gcc/config/i386/avx5124vnniwintrin.h ../../src/gcc/config/i386/avx512vpopcntdqintrin.h ../../src/gcc/config/i386/clwbintrin.h ../../src/gcc/config/i386/mwaitxintrin.h ../../src/gcc/config/i386/clzerointrin.h ../../src/gcc/config/i386/pkuintrin.h ../../src/gcc/config/i386/sgxintrin.h ../../src/gcc/config/i386/cetintrin.h ../../src/gcc/config/i386/gfniintrin.h ../../src/gcc/config/i386/cet.h ../../src/gcc/config/i386/avx512vbmi2intrin.h ../../src/gcc/config/i386/avx512vbmi2vlintrin.h ../../src/gcc/config/i386/avx512vnniintrin.h ../../src/gcc/config/i386/avx512vnnivlintrin.h ../../src/gcc/config/i386/vaesintrin.h ../../src/gcc/config/i386/vpclmulqdqintrin.h ../../src/gcc/config/i386/avx512vpopcntdqvlintrin.h ../../src/gcc/config/i386/avx512bitalgintrin.h ../../src/gcc/config/i386/pconfigintrin.h ../../src/gcc/config/i386/wbnoinvdintrin.h ../../src/gcc/config/i386/movdirintrin.h ../../src/gcc/config/i386/waitpkgintrin.h ../../src/gcc/config/i386/cldemoteintrin.h ../../src/gcc/config/i386/avx512bf16vlintrin.h ../../src/gcc/config/i386/avx512bf16intrin.h ../../src/gcc/config/i386/enqcmdintrin.h ../../src/gcc/config/i386/serializeintrin.h ../../src/gcc/config/i386/avx512vp2intersectintrin.h ../../src/gcc/config/i386/avx512vp2intersectvlintrin.h ../../src/gcc/config/i386/tsxldtrkintrin.h ../../src/gcc/config/i386/amxtileintrin.h ../../src/gcc/config/i386/amxint8intrin.h ../../src/gcc/config/i386/amxbf16intrin.h ../../src/gcc/config/i386/x86gprintrin.h ../../src/gcc/config/i386/uintrintrin.h ../../src/gcc/config/i386/hresetintrin.h ../../src/gcc/config/i386/keylockerintrin.h ../../src/gcc/config/i386/avxvnniintrin.h ../../src/gcc/config/i386/mwaitintrin.h ../../src/gcc/config/i386/avx512fp16intrin.h ../../src/gcc/config/i386/avx512fp16vlintrin.h mm_malloc.h; do \ + if [ X$file != X.. ]; then \ + realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ + echo timestamp > include/$realfile; \ + rm -f include/$realfile; \ + cp $file include; \ + chmod a+r include/$realfile; \ + fi; \ +done "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb @@ -12359,19 +12358,6 @@ "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb - "synth-vhdl_stmts.ali" being checked ... - -> "synth-vhdl_stmts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb - "vhdl-formatters.ali" being checked ... - -> "vhdl-formatters.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb - "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... - "synth-source.ali" being checked ... - -> "synth-source.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb - "synth-vhdl_decls.ali" being checked ... - -> "synth-vhdl_decls.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb @@ -12384,6 +12370,9 @@ "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb + "synth-vhdl_static_proc.ali" being checked ... + -> "synth-vhdl_static_proc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads @@ -12393,9 +12382,56 @@ "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb - "synth-vhdl_static_proc.ali" being checked ... - -> "synth-vhdl_static_proc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb +for file in .. ; do \ + if [ X$file != X.. ]; then \ + mv include/$file include/x_$file; \ + echo "#include_next <$file>" >include/$file; \ + cat include/x_$file >>include/$file; \ + rm -f include/x_$file; \ + chmod a+r include/$file; \ + fi; \ +done +for file in .. ; do \ + if [ X$file != X.. ]; then \ + echo "#include_next <$file>" >>include/$file; \ + chmod a+r include/$file; \ + fi; \ +done +rm -f include/stdint.h +if [ wrap = wrap ]; then \ + rm -f include/stdint-gcc.h; \ + cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ + chmod a+r include/stdint-gcc.h; \ + cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ + chmod a+r include/stdint.h; \ +elif [ wrap = provide ]; then \ + cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ + chmod a+r include/stdint.h; \ +fi +set -e; for ml in `cat fixinc_list`; do \ + sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ + multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ + fix_dir=include-fixed${multi_dir}; \ + if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/x86_64-linux-gnu/limits.h ] ; then \ + cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ + else \ + cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ + fi; \ + /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ + chmod a+rx ${fix_dir} || true; \ + /bin/sh ../../src/gcc/../move-if-change \ + tmp-xlimits.h tmp-limits.h; \ + rm -f ${fix_dir}/limits.h; \ + cp -p tmp-limits.h ${fix_dir}/limits.h; \ + chmod a+r ${fix_dir}/limits.h; \ +done +rm -f include-fixed/README +cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README +chmod a+r include-fixed/README +echo timestamp > stmp-int-hdrs +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests +cc1: note: self-tests are not enabled in this build +echo timestamp > s-selftest-c End of compilation x86_64-linux-gnu-gnatbind-12 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali x86_64-linux-gnu-gnatlink-12 ghdl_gcc.ali -o ghdl --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R @@ -12403,40 +12439,43 @@ make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... mkdir -p -- x86_64-linux-gnu/libgcc -Configuring in x86_64-linux-gnu/libgcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' +Configuring in x86_64-linux-gnu/libgcc x86_64-linux-gnu-g++-12 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc x86_64-linux-gnu-g++-12 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc -/bin/bash ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc +/bin/sh ./libtool --tag=CXX --mode=compile x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc +libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o +libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o +libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o +libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o -libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o -libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o -libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o -libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc -fPIC -DPIC -o .libs/context.o -libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o +libtool: compile: x86_64-linux-gnu-g++-12 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o mv -f .deps/names.Tpo .deps/names.Plo mv -f .deps/callbacks.Tpo .deps/callbacks.Plo mv -f .deps/marshall.Tpo .deps/marshall.Plo +mv -f .deps/findcomp.Tpo .deps/findcomp.Plo +mv -f .deps/connection.Tpo .deps/connection.Plo +mv -f .deps/compiler.Tpo .deps/compiler.Plo configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu @@ -12450,18 +12489,31 @@ checking for x86_64-linux-gnu-strip... x86_64-linux-gnu-strip checking whether ln -s works... yes checking for x86_64-linux-gnu-gcc... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -mv -f .deps/findcomp.Tpo .deps/findcomp.Plo -checking for suffix of object files... o -checking whether we are using the GNU C compiler... mv -f .deps/connection.Tpo .deps/connection.Plo -yes +checking for suffix of object files... mv -f .deps/context.Tpo .deps/context.Plo +o +checking whether we are using the GNU C compiler... yes checking whether /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include accepts -g... yes checking for /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include option to accept ISO C89... none needed -checking how to run the C preprocessor... /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -E -mv -f .deps/compiler.Tpo .deps/compiler.Plo +checking how to run the C preprocessor... x86_64-linux-gnu-g++-12 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a +/usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -E checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no -checking size of double... 8 -checking size of long double... mv -f .deps/context.Tpo .deps/context.Plo +checking size of double... mv -f .deps/libcp1.Tpo .deps/libcp1.Plo +mv -f .deps/libcc1.Tpo .deps/libcc1.Plo +/bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a +8 +checking size of long double... libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 +mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo +/bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a +libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") +libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 +libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") +libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) +libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") +libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") +libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) 16 checking for inttypes.h... yes checking for stdint.h... yes @@ -12469,12 +12521,9 @@ checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes -checking for sys/types.h... x86_64-linux-gnu-g++-12 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a -yes +checking for sys/types.h... yes checking for string.h... yes checking for strings.h... yes -/usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' checking for memory.h... yes checking for sys/auxv.h... yes checking for sys/mman.h... yes @@ -12488,31 +12537,26 @@ checking if the linker (/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes -checking for target glibc version... mv -f .deps/libcc1.Tpo .deps/libcc1.Plo +checking for target glibc version... mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo +/bin/sh ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a +libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 +libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") +libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") +libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' 2.36 checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no -mv -f .deps/libcp1.Tpo .deps/libcp1.Plo -checking if the assembler supports AVX... /bin/bash ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a -yes +checking if the assembler supports AVX... yes checking for init priority support... yes -libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 configure: updating cache ./config.cache -mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo configure: creating ./config.status -/bin/bash ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a -libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 config.status: creating Makefile -libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") -libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") config.status: creating auto-target.h -libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) config.status: executing default commands -libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") -libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") -libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) WARNING: biarch_multidir_names is unset. Use default value: libiberty libstdc++-v3 libgfortran libmudflap libssp libffi libobjc libgomp Adding multilib support to Makefile in ../../../src/libgcc @@ -12521,28 +12565,28 @@ make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' # If this is the top-level multilib, build all the other ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c -ln -s ../../../src/libgcc/unwind-generic.h unwind.h # multilibs. +ln -s ../../../src/libgcc/unwind-generic.h unwind.h +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' ln -s ../../../src/libgcc/config/i386/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/i386/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='../../../src/libgcc/config/i386/elf-lib.h ../../../src/libgcc/config/i386/value-unwind.h' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h +/bin/sh ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64_s.o -MT avx_savms64_s.o -MD -MP -MF avx_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64_s.o -MT avx_resms64_s.o -MD -MP -MF avx_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64.S +echo timestamp > libgcc_tm.stamp /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x_s.o -MT avx_resms64x_s.o -MD -MP -MF avx_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64f_s.o -MT avx_savms64f_s.o -MD -MP -MF avx_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64f_s.o -MT avx_resms64f_s.o -MD -MP -MF avx_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64f.S +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64fx_s.o -MT avx_resms64fx_s.o -MD -MP -MF avx_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64_s.o -MT sse_savms64_s.o -MD -MP -MF sse_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64_s.o -MT sse_resms64_s.o -MD -MP -MF sse_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64x_s.o -MT sse_resms64x_s.o -MD -MP -MF sse_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64x.S -echo timestamp > libgcc_tm.stamp /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64f_s.o -MT sse_savms64f_s.o -MD -MP -MF sse_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64f_s.o -MT sse_resms64f_s.o -MD -MP -MF sse_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64f.S -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64fx_s.o -MT sse_resms64fx_s.o -MD -MP -MF sse_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/morestack.S sed -e 's/__PFX__/__/g' \ @@ -12553,6 +12597,9 @@ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_savms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64.visT +../../../src/libgcc/config/i386/morestack.S: Assembler messages: +../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64x.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_savms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64f.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64f.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64fx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64fx.visT @@ -12560,34 +12607,33 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64x.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_savms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_savms64f.visT -../../../src/libgcc/config/i386/morestack.S: Assembler messages: -../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64f.visT -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64fx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64fx.visT -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT -mv -f avx_resms64.visT avx_resms64.vis mv -f avx_savms64.visT avx_savms64.vis +mv -f avx_resms64.visT avx_resms64.vis +mv -f avx_resms64x.visT avx_resms64x.vis mv -f avx_savms64f.visT avx_savms64f.vis mv -f avx_resms64f.visT avx_resms64f.vis -mv -f avx_resms64fx.visT avx_resms64fx.vis mv -f sse_savms64.visT sse_savms64.vis +mv -f avx_resms64fx.visT avx_resms64fx.vis +mv -f sse_resms64.visT sse_resms64.vis mv -f sse_resms64x.visT sse_resms64x.vis mv -f sse_savms64f.visT sse_savms64f.vis mv -f sse_resms64f.visT sse_resms64f.vis +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg sse_resms64fx_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > sse_resms64fx.visT +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c -mv -f sse_resms64.visT sse_resms64.vis mv -f sse_resms64fx.visT sse_resms64fx.vis +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c +mv -f morestack.visT morestack.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c -mv -f morestack.visT morestack.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c @@ -12762,8 +12808,8 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS mv tmp-libgcc.map.in libgcc.map.in +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS @@ -12867,11 +12913,8 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid64_to_uint64.o -MT bid64_to_uint64.o -MD -MP -MF bid64_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid64_to_uint64.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_int32.o -MT bid128_to_int32.o -MD -MP -MF bid128_to_int32.dep -c ../../../src/libgcc/config/libbid/bid128_to_int32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_int64.o -MT bid128_to_int64.o -MD -MP -MF bid128_to_int64.dep -c ../../../src/libgcc/config/libbid/bid128_to_int64.c -mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo -/bin/bash ./libtool --tag=CXX --mode=link x86_64-linux-gnu-g++-12 -W -Wall -fvisibility=hidden -fcf-protection -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_uint32.o -MT bid128_to_uint32.o -MD -MP -MF bid128_to_uint32.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint32.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o bid128_to_uint64.o -MT bid128_to_uint64.o -MD -MP -MF bid128_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint64.c -libtool: link: x86_64-linux-gnu-g++-12 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/12/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/x86_64-linux-gnu/12 -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/12/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/12/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/12/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/12/../../../x86_64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_addsub_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_div_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_mul_sd.c @@ -12879,17 +12922,12 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ne_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_lt_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_gt_sd.c -libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_le_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ge_sd.c -libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_si.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_di.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_usi.c -libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_udi.c -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_si_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_di_to_sd.c /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_usi_to_sd.c @@ -13013,7 +13051,7 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64.o -MT avx_savms64.o -MD -MP -MF avx_savms64.dep -c -xassembler-with-cpp -include avx_savms64.vis ../../../src/libgcc/config/i386/avx_savms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64.o -MT avx_resms64.o -MD -MP -MF avx_resms64.dep -c -xassembler-with-cpp -include avx_resms64.vis ../../../src/libgcc/config/i386/avx_resms64.S -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg avx_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64x.visT +/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x.o -MT avx_resms64x.o -MD -MP -MF avx_resms64x.dep -c -xassembler-with-cpp -include avx_resms64x.vis ../../../src/libgcc/config/i386/avx_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64f.o -MT avx_savms64f.o -MD -MP -MF avx_savms64f.dep -c -xassembler-with-cpp -include avx_savms64f.vis ../../../src/libgcc/config/i386/avx_savms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64f.o -MT avx_resms64f.o -MD -MP -MF avx_resms64f.dep -c -xassembler-with-cpp -include avx_resms64f.vis ../../../src/libgcc/config/i386/avx_resms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64fx.o -MT avx_resms64fx.o -MD -MP -MF avx_resms64fx.dep -c -xassembler-with-cpp -include avx_resms64fx.vis ../../../src/libgcc/config/i386/avx_resms64fx.S @@ -13021,13 +13059,10 @@ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64.o -MT sse_resms64.o -MD -MP -MF sse_resms64.dep -c -xassembler-with-cpp -include sse_resms64.vis ../../../src/libgcc/config/i386/sse_resms64.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64x.o -MT sse_resms64x.o -MD -MP -MF sse_resms64x.dep -c -xassembler-with-cpp -include sse_resms64x.vis ../../../src/libgcc/config/i386/sse_resms64x.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64f.o -MT sse_savms64f.o -MD -MP -MF sse_savms64f.dep -c -xassembler-with-cpp -include sse_savms64f.vis ../../../src/libgcc/config/i386/sse_savms64f.S -mv -f avx_resms64x.visT avx_resms64x.vis /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64f.o -MT sse_resms64f.o -MD -MP -MF sse_resms64f.dep -c -xassembler-with-cpp -include sse_resms64f.vis ../../../src/libgcc/config/i386/sse_resms64f.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64fx.o -MT sse_resms64fx.o -MD -MP -MF sse_resms64fx.dep -c -xassembler-with-cpp -include sse_resms64fx.vis ../../../src/libgcc/config/i386/sse_resms64fx.S /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o morestack.o -MT morestack.o -MD -MP -MF morestack.dep -c -xassembler-with-cpp -include morestack.vis ../../../src/libgcc/config/i386/morestack.S rm -f libgcov.a -rm -f libgcc_eh.a -# Early copyback; see "all" above for the rationale. The objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ @@ -13036,9 +13071,7 @@ objects=eh_dummy.o; \ fi; \ x86_64-linux-gnu-ar rc libgcov.a $objects -{ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ - cat libgcc.map.in; \ -} | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map +rm -f libgcc_eh.a objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ @@ -13047,10 +13080,12 @@ objects=eh_dummy.o; \ fi; \ x86_64-linux-gnu-ar rc libgcc_eh.a $objects +# Early copyback; see "all" above for the rationale. The # early copy is necessary so that the gcc -B options find -/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x.o -MT avx_resms64x.o -MD -MP -MF avx_resms64x.dep -c -xassembler-with-cpp -include avx_resms64x.vis ../../../src/libgcc/config/i386/avx_resms64x.S # the right startup files when linking shared libgcc. -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +../../../src/libgcc/config/i386/morestack.S: Assembler messages: +../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ @@ -13060,8 +13095,9 @@ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done -../../../src/libgcc/config/i386/morestack.S: Assembler messages: -../../../src/libgcc/config/i386/morestack.S:853: Warning: ignoring incorrect section type for .init_array.00000 +{ /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ + cat libgcc.map.in; \ +} | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map x86_64-linux-gnu-ranlib libgcc_eh.a x86_64-linux-gnu-ranlib libgcov.a mv tmp-libgcc.map libgcc.map @@ -13079,7 +13115,7 @@ # /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. -/bin/bash ../../../src/libgcc/../mkinstalldirs . +/bin/sh ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other @@ -13088,12 +13124,12 @@ /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc.a @@ -13115,53 +13151,53 @@ make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' # For some reason, on the i386 architecture only, it decides to delete # one important build result. Just rerun make as a workaround. -/usr/bin/make -j15 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build +/usr/bin/make -j16 -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/intl' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' -make[4]: Nothing to be done for 'all'. -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' /usr/bin/make all-am make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcody' -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -true DO=all multi-do # /usr/bin/make +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' +make[4]: Nothing to be done for 'all'. +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libdecnumber' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty/testsuite' -make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libiberty' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' +true DO=all multi-do # /usr/bin/make +make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' +make[4]: Nothing to be done for 'all'. +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' -test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' -test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/fixincludes' -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' -make[4]: Nothing to be done for 'all'. -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' -make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' +test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' +test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcpp' +make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/build-x86_64-linux-gnu/libcpp' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' -gnatmake -v -j15 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 @@ -13289,7 +13325,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. -gnatmake -v -j15 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ @@ -13421,7 +13457,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. -gnatmake -v -j15 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ +gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ @@ -13614,24 +13650,24 @@ make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' -make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' /usr/bin/make all-am +make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' # If this is the top-level multilib, build all the other # multilibs. -CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/bash ./config.status +make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' +CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/sh ./config.status # Early copyback; see "all" above for the rationale. The +# early copy is necessary so that the gcc -B options find +# the right startup files when linking shared libgcc. dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h -# early copy is necessary so that the gcc -B options find -# the right startup files when linking shared libgcc. -make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtprec32.o crtprec64.o crtprec80.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ @@ -13648,7 +13684,7 @@ # /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. -/bin/bash ../../../src/libgcc/../mkinstalldirs . +/bin/sh ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -fcf-protection -mshstk -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o cpuinfo_s.o sfp-exceptions_s.o _divhc3_s.o _mulhc3_s.o addtf3_s.o divtf3_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendhfsf2_s.o extendhfdf2_s.o extendhftf2_s.o extendhfxf2_s.o extendsfdf2_s.o extendsftf2_s.o extenddftf2_s.o extendxftf2_s.o trunctfhf2_s.o truncxfhf2_s.o truncdfhf2_s.o truncsfhf2_s.o trunctfsf2_s.o truncdfsf2_s.o trunctfdf2_s.o trunctfxf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o eqhf2_s.o getf2_s.o letf2_s.o eqtf2_s.o _divtc3_s.o _multc3_s.o _powitf2_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' # Now that we have built all the objects, we need to copy @@ -13658,12 +13694,12 @@ /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so -/bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so +/bin/sh ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a x86_64-linux-gnu-ranlib ../.././gcc/libgcc.a @@ -14143,7 +14179,7 @@ mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -14217,18 +14253,6 @@ "grt-values.ali" being checked ... -> "grt-values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-values.adb - "grt-astdio.ali" being checked ... - -> "grt-astdio.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb - "grt-astdio-vhdl.ali" being checked ... - -> "grt-astdio-vhdl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb - "grt-severity.ali" being checked ... - -> "grt-severity.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces.adb @@ -14262,6 +14286,18 @@ "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-waves.adb + "grt-astdio.ali" being checked ... + -> "grt-astdio.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio.adb + "grt-astdio-vhdl.ali" being checked ... + -> "grt-astdio-vhdl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-astdio-vhdl.adb + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads + "grt-severity.ali" being checked ... + -> "grt-severity.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-rtis_addr.adb @@ -14274,51 +14310,51 @@ "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-callbacks.adb - "grt-errors_exec.ali" being checked ... - -> "grt-errors_exec.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb - "grt-disp.ali" being checked ... - -> "grt-disp.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-strings.adb - "grt-wave_opt.ali" being checked ... - -> "grt-wave_opt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb - "grt-wave_opt-file.ali" being checked ... - -> "grt-wave_opt-file.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb + "grt-to_strings.ali" being checked ... + -> "grt-to_strings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb + "grt-disp.ali" being checked ... + -> "grt-disp.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp.adb + "grt-backtraces-impl.ali" being checked ... + -> "grt-backtraces-impl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads + "grt-errors_exec.ali" being checked ... + -> "grt-errors_exec.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-errors_exec.adb + "grt-zlib.ali" being checked ... + -> "grt-zlib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-avhpi_utils.adb - "grt-backtraces-impl.ali" being checked ... - -> "grt-backtraces-impl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads + "grt-wave_opt.ali" being checked ... + -> "grt-wave_opt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt.adb + "grt-wave_opt-file.ali" being checked ... + -> "grt-wave_opt-file.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-file.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb - "grt-to_strings.ali" being checked ... - -> "grt-to_strings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb - "grt-zlib.ali" being checked ... - -> "grt-zlib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-zlib.ads + "grt-backtraces-gcc.ali" being checked ... + -> "grt-backtraces-gcc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-gcc.adb "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-threads.ads - "grt-disp_signals.ali" being checked ... - -> "grt-disp_signals.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb - "grt-stack2.ali" being checked ... - -> "grt-stack2.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb + "grt-vstrings_io.ali" being checked ... + -> "grt-vstrings_io.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fst_api.ads @@ -14328,18 +14364,18 @@ "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-wave_opt-design.adb - "grt-vstrings_io.ali" being checked ... - -> "grt-vstrings_io.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings_io.adb - "grt-backtraces-gcc.ali" being checked ... - -> "grt-backtraces-gcc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-backtraces-gcc.adb - "grt-unithread.ali" being checked ... - -> "grt-unithread.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-sdf.adb + "grt-unithread.ali" being checked ... + -> "grt-unithread.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-unithread.adb + "grt-disp_signals.ali" being checked ... + -> "grt-disp_signals.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-disp_signals.adb + "grt-stack2.ali" being checked ... + -> "grt-stack2.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stack2.adb "version.ali" being checked ... -> "version.ali" missing. x86_64-linux-gnu-gcc-12 -c -I./ -I/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/version.ads @@ -14355,12 +14391,12 @@ End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -14369,7 +14405,7 @@ -> "run-bind.ali" missing. x86_64-linux-gnu-gcc-12 -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -14479,7 +14515,7 @@ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi -gnatmake -v -j15 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o +gnatmake -v -j16 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-2_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -14577,6 +14613,36 @@ "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-scanner.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-scanner.adb + "utils_io.ali" being checked ... + -> "utils_io.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb + "vhdl-prints.ali" being checked ... + -> "vhdl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb + "vhdl-tokens.ali" being checked ... + -> "vhdl-tokens.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb + "grt.ali" being checked ... + -> "grt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads + "grt-types.ali" being checked ... + -> "grt-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads + "grt-vstrings.ali" being checked ... + -> "grt-vstrings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb + "psl-errors.ali" being checked ... + -> "psl-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb + "psl-nodes_meta.ali" being checked ... + -> "psl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb + "psl-types.ali" being checked ... + -> "psl-types.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads + "logging.ali" being checked ... + -> "logging.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb "bug.ali" being checked ... -> "bug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/bug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/bug.adb @@ -14607,10 +14673,10 @@ "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_dot.adb -netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_verilog.adb +netlists-disp_dot.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-disp_vhdl.adb @@ -14626,13 +14692,13 @@ "synth.ali" being checked ... -> "synth.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth.ads -netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-disp_vhdl.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-flags.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-flags.ads +netlists-inference.ads:19:06: warning: unnecessary with of ancestor [-gnatwr] "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_context.adb @@ -14657,60 +14723,30 @@ "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-utils.adb - "grt.ali" being checked ... - -> "grt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt.ads - "grt-types.ali" being checked ... - -> "grt-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-types.ads - "logging.ali" being checked ... - -> "logging.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/logging.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/logging.adb - "utils_io.ali" being checked ... - -> "utils_io.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/utils_io.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/utils_io.adb - "vhdl-prints.ali" being checked ... - -> "vhdl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-prints.adb - "vhdl-tokens.ali" being checked ... - -> "vhdl-tokens.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-tokens.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-tokens.adb - "grt-vstrings.ali" being checked ... - -> "grt-vstrings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-vstrings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-vstrings.adb - "psl-errors.ali" being checked ... - -> "psl-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-errors.adb - "psl-nodes_meta.ali" being checked ... - -> "psl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_meta.adb - "psl-types.ali" being checked ... - -> "psl-types.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-types.ads - "vhdl-sem.ali" being checked ... - -> "vhdl-sem.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb - "vhdl-sem_lib.ali" being checked ... - -> "vhdl-sem_lib.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb "lists.ali" being checked ... -> "lists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/lists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/lists.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-types.ads - "vhdl-nodes_meta.ali" being checked ... - -> "vhdl-nodes_meta.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb + "vhdl-sem.ali" being checked ... + -> "vhdl-sem.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem.adb + "vhdl-sem_lib.ali" being checked ... + -> "vhdl-sem_lib.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb + "grt-c.ali" being checked ... + -> "grt-c.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-hash.adb - "netlists-iterators.ali" being checked ... - -> "netlists-iterators.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb - "netlists-utils.ali" being checked ... - -> "netlists-utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb + "psl-nodes_priv.ali" being checked ... + -> "psl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads + "vhdl-nodes_meta.ali" being checked ... + -> "vhdl-nodes_meta.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_decls.adb @@ -14732,42 +14768,18 @@ "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values.adb + "netlists-iterators.ali" being checked ... + -> "netlists-iterators.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-iterators.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-iterators.adb + "netlists-utils.ali" being checked ... + -> "netlists-utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-utils.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-locations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-locations.adb - "mutils.ali" being checked ... - -> "mutils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb - "areapools.ali" being checked ... - -> "areapools.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb - "elab-memtype.ali" being checked ... - -> "elab-memtype.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-severity.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-severity.ads - "netlists-gates.ali" being checked ... - -> "netlists-gates.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads - "netlists-folds.ali" being checked ... - -> "netlists-folds.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb - "netlists-gates_ports.ali" being checked ... - -> "netlists-gates_ports.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb - "netlists-internings.ali" being checked ... - -> "netlists-internings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb - "netlists-memories.ali" being checked ... - -> "netlists-memories.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb - "synth-errors.ali" being checked ... - -> "synth-errors.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb - "netlists-builders.ali" being checked ... - -> "netlists-builders.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_values-debug.adb @@ -14777,12 +14789,45 @@ "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-expands.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-expands.adb + "netlists-memories.ali" being checked ... + -> "netlists-memories.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-memories.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-memories.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_insts.adb + "netlists-builders.ali" being checked ... + -> "netlists-builders.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-builders.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-context.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-context.ads + "netlists-folds.ali" being checked ... + -> "netlists-folds.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-folds.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-folds.adb + "netlists-gates.ali" being checked ... + -> "netlists-gates.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates.ads + "netlists-gates_ports.ali" being checked ... + -> "netlists-gates_ports.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-gates_ports.adb + "netlists-internings.ali" being checked ... + -> "netlists-internings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-internings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-internings.adb + "synth-errors.ali" being checked ... + -> "synth-errors.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-errors.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-errors.adb + "mutils.ali" being checked ... + -> "mutils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/mutils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/mutils.adb + "areapools.ali" being checked ... + -> "areapools.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/areapools.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/areapools.adb + "elab-memtype.ali" being checked ... + -> "elab-memtype.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-memtype.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-memtype.adb + "vhdl-nodes_priv.ali" being checked ... + -> "vhdl-nodes_priv.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_expr.adb @@ -14792,81 +14837,48 @@ "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_environment.adb - "psl-nodes_priv.ali" being checked ... - -> "psl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nodes_priv.ads + "vhdl-nodes_gc.ali" being checked ... + -> "vhdl-nodes_gc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb + "vhdl-post_sems.ali" being checked ... + -> "vhdl-post_sems.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb + "grt-fcvt.ali" being checked ... + -> "grt-fcvt.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb + "vhdl-evaluation.ali" being checked ... + -> "vhdl-evaluation.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb + "vhdl-nodes_walk.ali" being checked ... + -> "vhdl-nodes_walk.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb + "vhdl-sem_scopes.ali" being checked ... + -> "vhdl-sem_scopes.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb - "grt-c.ali" being checked ... - -> "grt-c.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-c.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-c.ads - "vhdl-nodes_priv.ali" being checked ... - -> "vhdl-nodes_priv.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb - "vhdl-evaluation.ali" being checked ... - -> "vhdl-evaluation.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-evaluation.adb - "vhdl-nodes_walk.ali" being checked ... - -> "vhdl-nodes_walk.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb - "vhdl-sem_scopes.ali" being checked ... - -> "vhdl-sem_scopes.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb - "vhdl-nodes_gc.ali" being checked ... - -> "vhdl-nodes_gc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb - "vhdl-post_sems.ali" being checked ... - -> "vhdl-post_sems.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-post_sems.adb - "psl-build.ali" being checked ... - -> "psl-build.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb - "psl-nfas.ali" being checked ... - -> "psl-nfas.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb - "psl-nfas-utils.ali" being checked ... - -> "psl-nfas-utils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb - "psl-rewrites.ali" being checked ... - -> "psl-rewrites.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb - "vhdl-canon_psl.ali" being checked ... - -> "vhdl-canon_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb - "vhdl-sem_inst.ali" being checked ... - -> "vhdl-sem_inst.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb - "vhdl-sem_specs.ali" being checked ... - -> "vhdl-sem_specs.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-files_operations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-stdio.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-stdio.ads - "dyn_interning.ali" being checked ... - -> "dyn_interning.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb - "dyn_maps.ali" being checked ... - -> "dyn_maps.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb - "hash.ali" being checked ... - -> "hash.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb - "grt-fcvt.ali" being checked ... - -> "grt-fcvt.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-fcvt.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-fcvt.adb + "netlists-butils.ali" being checked ... + -> "netlists-butils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb + "netlists-concats.ali" being checked ... + -> "netlists-concats.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations.adb @@ -14879,42 +14891,39 @@ "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb + "vhdl-sem_inst.ali" being checked ... + -> "vhdl-sem_inst.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb + "vhdl-sem_specs.ali" being checked ... + -> "vhdl-sem_specs.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-xrefs.adb - "netlists-butils.ali" being checked ... - -> "netlists-butils.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-butils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-butils.adb - "netlists-concats.ali" being checked ... - -> "netlists-concats.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/netlists-concats.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/netlists-concats.adb - "elab-debugger.ali" being checked ... - -> "elab-debugger.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb - "elab-vhdl_heap.ali" being checked ... - -> "elab-vhdl_heap.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb - "grt-to_strings.ali" being checked ... - -> "grt-to_strings.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb - "synth-vhdl_aggr.ali" being checked ... - -> "synth-vhdl_aggr.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb - "synth-vhdl_oper.ali" being checked ... - -> "synth-vhdl_oper.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb - "synth-vhdl_stmts.ali" being checked ... - -> "synth-vhdl_stmts.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb + "psl-build.ali" being checked ... + -> "psl-build.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-build.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-build.adb + "psl-nfas.ali" being checked ... + -> "psl-nfas.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas.adb + "psl-nfas-utils.ali" being checked ... + -> "psl-nfas-utils.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-nfas-utils.adb + "psl-rewrites.ali" being checked ... + -> "psl-rewrites.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-rewrites.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-rewrites.adb + "vhdl-canon_psl.ali" being checked ... + -> "vhdl-canon_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb @@ -14936,24 +14945,39 @@ "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb + "dyn_interning.ali" being checked ... + -> "dyn_interning.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_interning.adb + "dyn_maps.ali" being checked ... + -> "dyn_maps.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/dyn_maps.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/dyn_maps.adb + "hash.ali" being checked ... + -> "hash.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/hash.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/hash.adb + "elab-debugger.ali" being checked ... + -> "elab-debugger.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-debugger.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-debugger.adb + "elab-vhdl_heap.ali" being checked ... + -> "elab-vhdl_heap.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/elab-vhdl_heap.adb + "grt-to_strings.ali" being checked ... + -> "grt-to_strings.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-to_strings.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-to_strings.adb + "synth-vhdl_aggr.ali" being checked ... + -> "synth-vhdl_aggr.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_aggr.adb + "synth-vhdl_oper.ali" being checked ... + -> "synth-vhdl_oper.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_oper.adb + "synth-vhdl_stmts.ali" being checked ... + -> "synth-vhdl_stmts.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_stmts.adb + "grt-table.ali" being checked ... + -> "grt-table.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-algos.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-algos.adb - "psl-cse.ali" being checked ... - -> "psl-cse.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb - "psl-disp_nfas.ali" being checked ... - -> "psl-disp_nfas.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb - "psl-optimize.ali" being checked ... - -> "psl-optimize.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb - "psl-prints.ali" being checked ... - -> "psl-prints.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb - "psl-qm.ali" being checked ... - -> "psl-qm.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "interning.ali" being checked ... -> "interning.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/interning.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/interning.adb @@ -14963,24 +14987,36 @@ "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_decls.adb - "vhdl-parse_psl.ali" being checked ... - -> "vhdl-parse_psl.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb - "grt-table.ali" being checked ... - -> "grt-table.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/grt-table.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/grt/grt-table.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb + "psl-prints.ali" being checked ... + -> "psl-prints.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-prints.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-prints.adb + "psl-priorities.ali" being checked ... + -> "psl-priorities.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "vhdl-parse_psl.ali" being checked ... + -> "vhdl-parse_psl.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-subsets.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-subsets.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-sem_types.adb - "psl-priorities.ali" being checked ... - -> "psl-priorities.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-priorities.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-priorities.ads + "psl-cse.ali" being checked ... + -> "psl-cse.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-cse.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-cse.adb + "psl-disp_nfas.ali" being checked ... + -> "psl-disp_nfas.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-disp_nfas.adb + "psl-optimize.ali" being checked ... + -> "psl-optimize.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-optimize.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-optimize.adb + "psl-qm.ali" being checked ... + -> "psl-qm.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/psl-qm.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/psl/psl-qm.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-environment.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-environment.adb @@ -14993,6 +15029,12 @@ "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/vhdl-flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/vhdl/vhdl-flists.ads + "synth-vhdl_static_proc.ali" being checked ... + -> "synth-vhdl_static_proc.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb + "flists.ali" being checked ... + -> "flists.ali" missing. +x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee.ads @@ -15002,12 +15044,6 @@ "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb - "flists.ali" being checked ... - -> "flists.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/flists.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/flists.adb - "synth-vhdl_static_proc.ali" being checked ... - -> "synth-vhdl_static_proc.ali" missing. -x86_64-linux-gnu-gcc-12 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-2.0.0+dfsg/src/synth/synth-vhdl_static_proc.adb End of compilation x86_64-linux-gnu-gnatbind-12 -aI. -aO/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali x86_64-linux-gnu-gnatlink-12 /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-2_0_0.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-2_0_0.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o -R @@ -15058,7 +15094,7 @@ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi -gnatmake -v -j15 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic +gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -15528,697 +15564,694 @@ Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity -..Running with 15 test workers. +..Running with 16 test workers. sanity 005examples: ok sanity 000hello: ok sanity 006upf: ok -sanity 001hello87: ok sanity 002hello2008: ok sanity 004all08: ok +sanity 001hello87: ok sanity tests are successful [GHDL - test] gna -..Running with 15 test workers. -gna issue1623: ok -gna issue212: ok -gna issue1403: ok -gna issue623: ok -gna issue1624: ok -gna issue1810: ok -gna ticket49: ok -gna issue458: ok -gna issue729: ok -gna issue333: ok -gna issue9: ok -gna bug21052: ok -gna issue216: ok -gna ticket50: ok -gna bug21078: ok +..Running with 16 test workers. +gna bug034: ok gna bug01: ok -gna issue1404: ok -gna issue73: ok -gna issue626: ok -gna issue223: ok -gna bug21274: ok -gna issue627: ok -gna issue630: ok -gna issue912: ok -gna issue631: ok -gna ticket51: ok -gna issue459: ok -gna issue1814: ok -gna issue225: ok -gna bug010: ok -gna issue632: ok +gna bug079: ok +gna issue1500: ok +gna issue1364: ok +gna issue381: ok +gna issue151: ok +gna issue772: ok +gna bug18810: ok +gna issue26: ok gna ticket52: ok -gna bug21332: ok -gna issue731: ok -gna issue1405: ok -gna issue634: ok -gna issue734: ok -gna issue141: ok -gna issue1412: ok -gna bug21487: ok -gna issue735: ok -gna issue913: ok -gna issue1226: ok +gna issue1370: ok +gna issue1514: ok +gna issue521: ok +gna bug010: ok +gna issue1128: ok +gna bug19195: ok +gna issue1371: ok +gna issue922: ok +gna issue643: ok +gna issue261: ok +gna issue1515: ok +gna issue1897: ok gna ticket53: ok -gna bug21497: ok -gna issue1415: ok -gna bug084: ok -gna issue1818: ok -gna issue461: ok -gna issue227: ok +gna issue1517: ok +gna issue776: ok +gna bug20255: ok +gna issue522: ok +gna issue382: ok +gna issue1708: ok gna ticket54: ok -gna issue1625: ok -gna bug21500: ok -gna issue1416: ok -gna bug085: ok -gna issue465: ok -gna bug21513: ok -gna issue1820: ok -gna bug086: ok -gna issue467: ok +gna issue262: ok +gna issue152: ok +gna issue384: ok +gna issue645: ok +gna issue777: ok +gna issue524: ok +gna bug20312: ok +gna issue779: ok +gna issue1898: ok +gna issue1129: ok +gna issue387: ok gna ticket55: ok -gna bug087: ok +gna issue388: ok +gna issue525: ok +gna issue1715: ok +gna bug20549: ok +gna issue1523: ok +gna bug08: ok +gna issue190: ok +gna issue389: ok gna ticket56: ok -gna issue228: ok -gna issue1823: ok -gna issue163: ok -gna issue736: ok -gna bug088: ok -gna issue470: ok -gna bug22868: ok +gna bug20597: ok +gna issue1717: ok +gna issue646: ok +gna bug080: ok +gna issue1376: ok +gna issue263: ok +gna issue961: ok +gna issue264: ok +gna issue392: ok +gna bug035: ok gna ticket57: ok -gna issue233: ok -gna issue916: ok -gna issue1419: ok -gna bug0100: ok -gna issue1824: ok +gna bug20703: ok +gna issue1528: ok +gna issue1377: ok +gna issue1718: ok +gna issue529: ok +gna bug081: ok gna ticket58: ok -gna bug23013: ok -gna issue235: ok -gna bug09: ok -gna issue471: ok +gna bug036: ok +gna bug0100: ok +gna issue265: ok +gna issue780: ok +gna issue648: ok +gna issue394: ok +gna bug082: ok +gna bug20767: ok +gna issue1908: ok gna bug0101: ok +gna issue652: ok gna ticket59: ok -gna ticket61: ok -gna issue1631: ok -gna issue472: ok +gna issue1379: ok +gna issue191: ok +gna issue786: ok +gna issue395: ok +gna issue530: ok +gna bug20771: ok +gna issue654: ok gna bug0103: ok -gna issue1831: ok -gna issue917: ok -gna issue1637: ok -gna issue737: ok +gna issue268: ok +gna issue1721: ok +gna issue787: ok +gna issue397: ok +gna ticket61: ok +gna bug083: ok gna bug0104: ok -gna issue1639: ok -gna issue918: ok -gna issue747: ok -gna issue1228: ok -gna issue1640: ok +gna issue40: ok +gna issue1381: ok +gna issue1723: ok +gna issue788: ok +gna issue273: ok +gna bug21052: ok +gna issue1384: ok +gna issue1913: ok +gna issue400: ok +gna issue278: ok +gna issue283: ok +gna bug21078: ok gna ticket62: ok -gna issue1229: ok -gna issue1420: ok -gna issue1646: ok -gna ticket63: ok -gna issue922: ok +gna issue1724: ok +gna issue660: ok +gna issue791: ok +gna bug21274: ok gna bug0105: ok -gna issue473: ok +gna issue1385: ok +gna ticket63: ok +gna issue98: ok +gna issue290: ok gna bug0106: ok -gna bug090: ok -gna issue474: ok -gna bug092: ok -gna ticket64: ok -gna issue1654: ok +gna issue792: ok +gna issue1726: ok +gna issue1386: ok +gna issue794: ok +gna issue1727: ok +gna issue663: ok +gna bug21332: ok gna bug0108: ok -gna issue123: ok -gna issue1832: ok +gna ticket64: ok +gna issue406: ok +gna issue666: ok +gna issue1131: ok +gna bug21487: ok +gna issue1387: ok +gna issue983: ok gna ticket65: ok -gna bug093: ok +gna bug21497: ok +gna issue531: ok +gna issue407: ok +gna issue1389: ok +gna bug084: ok +gna issue1914: ok +gna issue535: ok +gna issue413: ok +gna issue795: ok +gna issue1919: ok +gna bug085: ok +gna issue1392: ok +gna bug21500: ok +gna issue984: ok +gna issue1549: ok +gna issue1137: ok +gna issue1736: ok +gna issue797: ok +gna bug21513: ok +gna bug086: ok +gna issue99: ok +gna bug087: ok +gna issue155: ok +gna issue803: ok +gna issue1751: ok +gna bug22868: ok +gna bug088: ok +gna issue542: ok +gna issue156: ok +gna issue14: ok +gna ticket66: ok +gna bug23013: ok +gna lsp27: ok +gna issue1138: ok +gna issue140: ok +gna bug0109: ok +gna issue543: ok gna bug037: ok -gna bug23165: ok -gna issue1429: ok -gna issue756: ok -gna issue1655: ok -gna issue961: ok -gna issue476: ok -gna issue772: ok -gna issue143: ok +gna bug09: ok +gna ticket67: ok +gna issue1757: ok +gna issue807: ok +gna issue1403: ok +gna issue158: ok +gna issue544: ok gna bug039: ok -gna issue635: ok -gna issue1833: ok -gna bug23482: ok -gna issue636: ok -gna issue478: ok -gna issue1834: ok +gna ticket68: ok +gna issue293: ok +gna issue810: ok +gna issue1588: ok gna bug04: ok -gna issue1431: ok -gna issue637: ok -gna issue1657: ok -gna issue144: ok -gna bug094: ok -gna issue776: ok -gna issue237: ok -gna issue777: ok -gna issue779: ok -gna issue1664: ok -gna ticket66: ok -gna bug096: ok -gna issue339: ok -gna ticket67: ok -gna issue1667: ok +gna issue546: ok +gna issue813: ok +gna issue295: ok +gna issue1759: ok +gna issue816: ok +gna issue1404: ok +gna issue1589: ok +gna ticket69: ok +gna issue817: ok +gna issue1145: ok +gna issue1593: ok +gna issue1152: ok +gna issue547: ok +gna bug23165: ok +gna bug090: ok +gna ticket70: ok +gna issue1597: ok +gna bug092: ok +gna issue418: ok +gna bug23482: ok +gna issue552: ok +gna issue1405: ok +gna bug093: ok +gna ticket71: ok +gna issue419: ok +gna issue141: ok +gna issue668: ok +gna issue553: ok +gna issue1924: ok +gna issue1412: ok +gna issue42: ok +gna ticket73: ok +gna issue558: ok +gna bug011: ok +gna issue1415: ok +gna issue1191: ok +gna issue67: ok +gna issue1934: ok +gna issue1196: ok +gna ticket74: ok +gna issue1416: ok +gna issue160: ok +gna issue1935: ok +gna issue424: ok +gna issue559: ok +gna bug0110: ok +gna ticket75: ok gna bug24064: ok -gna issue349: ok +gna bug094: ok +gna issue43: ok +gna bug0111: ok +gna issue1612: ok +gna issue1201: ok +gna issue1943: ok +gna issue1623: ok gna bug24065: ok -gna issue1836: ok -gna issue167: ok -gna issue98: ok -gna issue351: ok +gna issue176: ok +gna ticket76: ok +gna issue1206: ok +gna issue1953: ok gna bug24324: ok -gna ticket68: ok -gna issue1837: ok -gna issue356: ok -gna issue1233: ok +gna issue560: ok +gna bug096: ok +gna issue1624: ok +gna issue439: ok +gna bug0112: ok +gna issue1419: ok +gna issue1979: ok +gna issue1764: ok gna bug24326: ok -gna issue361: ok +gna issue1224: ok gna bug7186: ok -gna issue367: ok -gna issue780: ok -gna issue238: ok -gna issue368: ok -gna bug097: ok -gna issue1672: ok -gna ticket69: ok -gna bug0109: ok -gna issue786: ok +gna issue1765: ok +gna ticket77: ok +gna issue672: ok +gna issue1768: ok +gna issue818: ok +gna issue44: ok +gna issue30: ok gna bug7751: ok -gna bug098: ok -gna issue983: ok -gna issue1677: ok -gna issue369: ok +gna issue1420: ok +gna ticket78: ok +gna issue821: ok +gna bug097: ok gna deb573721: ok -gna issue241: ok -gna issue787: ok +gna ticket79: ok +gna bug098: ok +gna issue440: ok gna bug099: ok -gna issue1843: ok -gna issue370: ok -gna issue1684: ok -gna issue788: ok -gna issue1687: ok -gna ticket70: ok -gna issue242: ok -gna issue791: ok +gna issue441: ok +gna issue676: ok +gna issue300: ok +gna issue447: ok +gna ticket81: ok gna deb585748: ok -gna issue1688: ok -gna issue1240: ok -gna issue1844: ok -gna issue984: ok -gna issue792: ok -gna issue243: ok -gna issue1440: ok +gna issue679: ok +gna issue828: ok +gna ticket83: ok +gna issue1980: ok +gna issue1429: ok +gna issue449: ok gna bug14953: ok -gna ticket71: ok -gna issue1246: ok -gna issue794: ok +gna issue830: ok +gna issue143: ok +gna issue199: ok +gna issue683: ok gna bug15638: ok -gna issue99: ok -gna bug15702: ok -gna bug15933: ok -gna issue1249: ok -gna ticket73: ok +gna issue838: ok gna deb585750: ok +gna issue561: ok +gna ticket84: ok +gna issue1625: ok +gna bug15702: ok +gna issue1226: ok gna gls7: ok +gna bug15933: ok +gna ticket86: ok +gna issue1431: ok +gna issue563: ok +gna issue163: ok gna bug15966: ok -gna ticket74: ok -gna lsp27: ok -gna issue125: ok -gna issue244: ok -gna issue1443: ok -gna issue1689: ok -gna issue1252: ok -gna issue1857: ok -gna issue25: ok -gna ticket75: ok -gna issue795: ok +gna issue144: ok +gna issue578: ok +gna ticket87: ok +gna issue685: ok gna issue10: ok -gna ticket76: ok -gna issue371: ok -gna issue797: ok +gna bug0114: ok +gna issue1631: ok +gna ticket88: ok gna issue1015: ok -gna issue253: ok -gna issue803: ok -gna bug011: ok -gna issue1690: ok -gna bug15993: ok +gna issue1637: ok +gna issue584: ok +gna issue1639: ok gna issue103: ok -gna issue254: ok -gna issue372: ok -gna issue1453: ok +gna bug0115: ok +gna ticket9: ok +gna issue1640: ok +gna bug15993: ok +gna issue1646: ok +gna issue586: ok gna bug16095: ok -gna bug0110: ok -gna ticket77: ok -gna issue1691: ok -gna issue373: ok +gna ticket90: ok +gna issue1771: ok gna bug16096: ok -gna bug0111: ok -gna issue374: ok -gna issue1862: ok gna bug16144: ok -gna ticket78: ok -gna bug0112: ok -gna ticket79: ok -gna issue1864: ok -gna issue1697: ok -gna issue256: ok +gna issue1654: ok +gna issue598: ok +gna issue1228: ok +gna issue301: ok gna issue1038: ok -gna issue1455: ok -gna issue375: ok -gna issue807: ok +gna issue45: ok +gna issue1229: ok +gna issue1772: ok +gna issue606: ok +gna issue309: ok gna bug16287: ok -gna issue1456: ok -gna ticket81: ok -gna issue257: ok -gna ticket83: ok -gna issue1461: ok -gna issue810: ok +gna issue609: ok +gna bug0117: ok +gna issue1655: ok gna issue1055: ok -gna issue258: ok +gna issue2: ok +gna issue310: ok +gna issue123: ok gna issue1057: ok -gna issue813: ok -gna issue816: ok -gna ticket84: ok +gna bug0118: ok +gna issue1440: ok +gna ticket92: ok +gna issue312: ok +gna issue610: ok +gna bug012: ok +gna issue687: ok +gna issue1657: ok +gna ticket93: ok +gna issue20: ok +gna issue1443: ok +gna issue1664: ok +gna issue201: ok +gna bug0120: ok gna bug16695: ok -gna issue1256: ok -gna issue259: ok -gna issue817: ok -gna issue1469: ok -gna issue1867: ok -gna ticket86: ok +gna bug0122: ok +gna issue1779: ok +gna issue1667: ok gna bug16782: ok -gna issue17: ok -gna issue1700: ok -gna issue147: ok -gna ticket87: ok -gna issue26: ok +gna issue314: ok +gna issue167: ok +gna issue316: ok +gna issue18: ok +gna issue450: ok +gna issue852: ok gna bug17127: ok -gna issue1473: ok -gna ticket88: ok -gna issue1872: ok -gna issue1875: ok -gna issue261: ok +gna bug0123: ok +gna issue857: ok +gna issue451: ok gna bug17202: ok -gna ticket9: ok -gna issue1876: ok -gna issue376: ok -gna ticket90: ok +gna issue1810: ok +gna issue689: ok +gna bug0124: ok +gna issue613: ok +gna issue1453: ok +gna issue202: ok +gna issue860: ok +gna issue456: ok gna bug17203: ok -gna issue262: ok -gna issue1704: ok -gna issue1257: ok -gna issue379: ok -gna issue38: ok -gna issue1474: ok -gna issue126: ok -gna issue381: ok -gna issue148: ok -gna issue1480: ok -gna ticket92: ok -gna issue1485: ok +gna bug0125: ok +gna issue204: ok +gna issue1672: ok +gna issue864: ok +gna issue458: ok +gna bug0126: ok +gna issue69: ok +gna issue869: ok +gna issue205: ok +gna issue690: ok +gna issue1233: ok +gna issue1677: ok +gna issue1455: ok +gna issue873: ok +gna issue691: ok +gna bug014: ok +gna issue207: ok +gna issue1456: ok +gna issue1684: ok +gna issue1814: ok gna issue106: ok -gna issue1881: ok -gna issue1490: ok -gna ticket93: ok -gna issue263: ok -gna issue1883: ok -gna issue264: ok -gna issue1063: ok -gna issue1894: ok -gna issue818: ok -gna issue1708: ok -gna issue1066: ok -gna issue382: ok -gna issue821: ok -gna issue265: ok -gna issue384: ok -gna issue1715: ok -gna issue268: ok -gna issue1717: ok -gna issue1493: ok -gna issue387: ok -gna issue273: ok -gna issue388: ok -gna issue1262: ok -gna issue1897: ok -gna issue389: ok -gna issue278: ok -gna issue283: ok -gna issue828: ok -gna issue1268: ok -gna issue392: ok -gna issue1718: ok -gna issue830: ok -gna issue290: ok -gna issue1269: ok -gna issue1898: ok -gna issue394: ok -gna issue395: ok -gna issue838: ok -gna issue15: ok -gna issue397: ok -gna issue190: ok -gna issue1274: ok -gna issue1721: ok -gna issue1287: ok -gna issue40: ok -gna issue1723: ok -gna issue1288: ok +gna issue615: ok gna ticket94: ok -gna issue1724: ok -gna issue400: ok -gna issue150: ok +gna issue1687: ok +gna bug015: ok +gna issue209: ok +gna issue1461: ok +gna issue874: ok gna ticket95: ok -gna issue1292: ok -gna issue1500: ok -gna issue1726: ok +gna issue212: ok +gna issue459: ok +gna issue1688: ok +gna issue1063: ok +gna issue875: ok +gna bug016: ok +gna issue692: ok gna ticket96: ok -gna issue1727: ok -gna issue151: ok +gna issue1066: ok +gna issue616: ok gna ticket97: ok -gna issue1067: ok -gna issue1295: ok -gna issue1514: ok -gna issue1908: ok -gna issue107: ok +gna issue1818: ok +gna issue877: ok +gna issue1240: ok +gna issue216: ok +gna issue1469: ok +gna issue694: ok +gna issue1246: ok +gna issue223: ok +gna issue1820: ok +gna issue618: ok gna ticket98: ok -gna issue191: ok -gna issue1300: ok -gna issue1515: ok -gna issue132: ok -gna issue406: ok -gna issue1517: ok -gna bug0114: ok -gna issue641: ok -gna issue152: ok -gna issue1736: ok -gna issue293: ok -gna issue1913: ok -gna issue407: ok -gna issue1751: ok -gna issue1323: ok -gna issue1523: ok -gna issue1120: ok -gna issue413: ok -gna issue642: ok -gna issue295: ok -gna bug0115: ok -gna issue1326: ok -gna issue1123: ok -gna issue1528: ok -gna issue1757: ok -gna issue643: ok -gna issue1338: ok -gna issue1125: ok -gna issue1914: ok -gna issue645: ok -gna issue1759: ok -gna issue1346: ok -gna issue1919: ok -gna bug0117: ok -gna bug0118: ok -gna issue1128: ok -gna issue1347: ok -gna issue852: ok -gna issue646: ok -gna bug012: ok -gna issue857: ok -gna issue418: ok -gna issue860: ok -gna bug0120: ok -gna issue648: ok -gna issue864: ok -gna issue1354: ok -gna bug0122: ok -gna issue419: ok -gna issue652: ok -gna issue869: ok -gna issue136: ok -gna issue1129: ok -gna issue873: ok -gna issue42: ok -gna issue654: ok +gna issue695: ok +gna issue147: ok +gna issue1689: ok +gna issue1823: ok +gna issue461: ok +gna issue1473: ok +gna issue1249: ok +gna issue880: ok +gna issue1824: ok +gna issue225: ok +gna issue620: ok +gna issue465: ok +gna issue697: ok +gna issue1690: ok +gna issue125: ok +gna issue621: ok +gna issue1252: ok +gna issue705: ok +gna issue467: ok +gna issue1691: ok +gna issue623: ok +gna issue470: ok +gna issue1831: ok +gna issue1474: ok +gna issue707: ok +gna issue227: ok +gna issue148: ok +gna issue881: ok +gna issue1697: ok +gna issue626: ok +gna issue708: ok +gna issue471: ok gna bug040: ok -gna bug0123: ok -gna issue1549: ok -gna bug0124: ok -gna issue1360: ok -gna issue30: ok +gna issue1480: ok +gna issue627: ok +gna issue472: ok gna bug041: ok -gna issue424: ok -gna issue155: ok -gna issue874: ok -gna bug0125: ok -gna issue660: ok -gna bug0126: ok -gna issue156: ok -gna issue43: ok +gna issue1485: ok +gna issue71: ok +gna issue630: ok +gna issue1490: ok +gna issue228: ok +gna issue631: ok gna bug042: ok -gna issue875: ok -gna bug014: ok -gna issue439: ok +gna issue1832: ok +gna issue885: ok +gna issue710: ok +gna issue632: ok gna bug043: ok -gna issue300: ok -gna issue877: ok +gna issue233: ok +gna issue634: ok gna bug044: ok -gna issue158: ok -gna bug015: ok -gna issue663: ok -gna issue666: ok -gna issue1588: ok +gna issue1067: ok +gna issue473: ok +gna issue235: ok +gna issue886: ok +gna issue1833: ok +gna issue17: ok +gna issue474: ok +gna issue107: ok +gna issue1700: ok +gna issue1834: ok +gna issue1493: ok +gna issue713: ok gna bug045: ok -gna bug016: ok +gna issue1256: ok gna bug046: ok -gna issue1589: ok -gna issue44: ok +gna issue1704: ok gna bug047: ok -gna issue1924: ok -gna issue1593: ok -gna issue440: ok -gna issue176: ok -gna issue1934: ok -gna issue880: ok +gna issue1120: ok +gna issue476: ok +gna issue15: ok gna bug048: ok -gna issue1597: ok -gna issue441: ok -gna issue1764: ok -gna issue1935: ok +gna issue1836: ok +gna issue478: ok +gna issue1837: ok gna bug049: ok -gna issue447: ok -gna issue1131: ok -gna issue1765: ok -gna issue1943: ok -gna issue449: ok -gna issue1768: ok -gna issue1953: ok -gna issue881: ok -gna issue160: ok -gna issue1979: ok -gna issue1612: ok +gna issue1123: ok +gna issue150: ok +gna issue1257: ok +gna issue887: ok +gna issue126: ok gna bug05: ok -gna bug17309: ok -gna issue1137: ok -gna issue885: ok -gna bug17545: ok -gna issue1138: ok +gna issue635: ok +gna bug017: ok +gna issue1843: ok +gna issue237: ok +gna issue636: ok +gna bug018: ok +gna issue899: ok +gna issue637: ok +gna issue1844: ok +gna issue1125: ok +gna issue9: ok gna bug050: ok -gna issue301: ok -gna bug17759: ok -gna issue309: ok -gna issue886: ok gna bug051: ok -gna issue310: ok -gna bug18280: ok -gna issue312: ok -gna bug18351: ok +gna issue912: ok +gna issue238: ok +gna issue1262: ok +gna issue1857: ok gna bug052: ok -gna issue314: ok -gna issue1980: ok -gna bug18353: ok -gna issue316: ok +gna issue1268: ok +gna issue241: ok +gna issue913: ok +gna issue1269: ok gna bug053: ok -gna issue1145: ok -gna bug18359: ok -gna issue199: ok -gna issue668: ok -gna bug18360: ok -gna issue1152: ok +gna issue242: ok +gna issue1274: ok +gna bug17309: ok gna bug054: ok -gna bug18361: ok -gna issue67: ok +gna issue1862: ok +gna issue243: ok +gna issue715: ok +gna issue1864: ok +gna issue1287: ok +gna issue717: ok gna bug055: ok -gna issue45: ok -gna bug18659: ok +gna bug17545: ok +gna bug019: ok +gna issue1288: ok +gna issue916: ok gna bug056: ok -gna issue1191: ok +gna issue244: ok +gna bug17759: ok +gna issue1867: ok +gna issue25: ok +gna issue1292: ok +gna issue917: ok +gna bug02: ok gna bug057: ok -gna issue1196: ok -gna bug18810: ok -gna issue887: ok -gna issue1361: ok -gna bug19195: ok +gna issue918: ok +gna issue253: ok +gna bug18280: ok +gna bug021: ok +gna issue718: ok +gna issue1872: ok gna bug058: ok -gna issue1201: ok -gna issue1362: ok -gna issue1206: ok -gna issue450: ok -gna bug017: ok +gna issue1875: ok +gna issue1295: ok +gna bug18351: ok +gna bug022: ok +gna issue719: ok +gna issue254: ok gna bug059: ok -gna issue1771: ok -gna bug20255: ok -gna issue451: ok -gna bug018: ok -gna issue1364: ok -gna issue456: ok +gna issue1876: ok +gna bug023: ok +gna issue1300: ok +gna bug18353: ok gna bug06: ok -gna issue1370: ok -gna issue672: ok -gna issue1371: ok -gna issue1772: ok -gna issue1224: ok -gna bug20312: ok -gna issue899: ok -gna bug20549: ok -gna bug20597: ok +gna issue72: ok +gna issue256: ok +gna bug024: ok +gna bug18359: ok +gna issue132: ok +gna bug18360: ok +gna issue729: ok +gna bug026: ok +gna issue257: ok gna bug060: ok -gna issue50: ok -gna bug20703: ok -gna issue516: ok -gna issue2: ok -gna issue520: ok -gna bug20767: ok -gna issue676: ok -gna issue679: ok -gna bug20771: ok +gna bug18361: ok +gna bug027: ok +gna issue73: ok +gna bug028: ok +gna issue1323: ok +gna bug18659: ok +gna issue1881: ok +gna issue258: ok +gna bug029: ok +gna issue1326: ok +gna issue259: ok gna bug061: ok -gna issue20: ok -gna issue521: ok -gna issue683: ok -gna issue1779: ok -gna issue201: ok -gna issue18: ok -gna issue1376: ok -gna issue1377: ok -gna issue522: ok -gna issue524: ok -gna issue1379: ok -gna issue685: ok -gna issue202: ok -gna issue525: ok -gna issue204: ok -gna bug019: ok -gna issue1381: ok -gna issue1384: ok -gna issue205: ok -gna issue1385: ok -gna issue207: ok +gna issue1883: ok +gna issue731: ok +gna bug03: ok +gna issue734: ok +gna issue1894: ok +gna issue1338: ok +gna issue735: ok +gna bug030: ok +gna bug031: ok +gna issue1346: ok gna bug062: ok +gna issue736: ok +gna issue1347: ok gna bug063: ok -gna issue529: ok -gna bug02: ok -gna issue1386: ok -gna issue209: ok gna bug064: ok -gna bug021: ok -gna bug022: ok -gna issue1387: ok +gna bug032: ok gna bug065: ok +gna issue737: ok +gna bug033: ok gna bug066: ok +gna issue747: ok gna bug067: ok -gna issue530: ok -gna bug023: ok -gna issue1389: ok -gna bug024: ok +gna issue1354: ok gna bug069: ok -gna issue1392: ok -gna bug026: ok +gna issue136: ok gna bug07: ok -gna bug027: ok gna bug071: ok -gna bug028: ok +gna issue1360: ok gna bug072: ok -gna issue687: ok +gna issue756: ok gna bug073: ok -gna bug029: ok -gna issue14: ok -gna issue140: ok gna bug074: ok -gna bug03: ok +gna issue641: ok gna bug075: ok -gna bug030: ok -gna bug031: ok -gna issue689: ok -gna issue531: ok -gna issue535: ok -gna issue69: ok +gna issue642: ok gna bug077: ok -gna issue690: ok -gna bug032: ok -gna issue691: ok gna bug078: ok -gna bug079: ok -gna issue542: ok -gna bug033: ok -gna bug034: ok -gna issue543: ok -gna issue692: ok -gna issue544: ok -gna issue546: ok -gna issue694: ok -gna issue695: ok -gna issue547: ok -gna issue697: ok -gna issue705: ok -gna bug08: ok -gna bug080: ok -gna issue707: ok -gna issue552: ok -gna issue553: ok -gna issue558: ok -gna bug081: ok -gna issue708: ok -gna bug082: ok -gna issue71: ok -gna issue559: ok -gna issue710: ok -gna bug035: ok -gna bug083: ok -gna issue560: ok -gna bug036: ok -gna issue713: ok -gna issue561: ok -gna issue563: ok -gna issue578: ok -gna issue584: ok -gna issue586: ok -gna issue598: ok -gna issue606: ok -gna issue609: ok -gna issue610: ok -gna issue613: ok -gna issue715: ok -gna issue717: ok -gna issue615: ok -gna issue718: ok -gna issue719: ok -gna issue616: ok -gna issue72: ok -gna issue618: ok -gna issue620: ok -gna issue621: ok +gna issue1361: ok +gna issue1362: ok +gna issue50: ok +gna issue516: ok +gna issue520: ok gna issue317: ok gna issue320: ok gna issue321: ok gna issue326: ok gna issue328: ok gna issue332: ok +gna issue333: ok +gna issue339: ok +gna issue349: ok +gna issue351: ok +gna issue356: ok +gna issue361: ok +gna issue367: ok +gna issue368: ok +gna issue369: ok +gna issue370: ok +gna issue371: ok +gna issue372: ok +gna issue373: ok +gna issue374: ok +gna issue375: ok +gna issue376: ok +gna issue379: ok +gna issue38: ok gna perf02-long: ok gna sr2553: ok gna sr2655: ok @@ -16254,404 +16287,407 @@ gna ticket46: ok gna ticket47: ok gna ticket48: ok +gna ticket49: ok +gna ticket50: ok +gna ticket51: ok gna tests are successful [GHDL - test] vests Vests is OK -27769 vests.log +27775 vests.log [GHDL - test] synth -..Running with 15 test workers. -synth issue1230: ok -synth synth50: ok -synth synth52: ok -synth issue1231: ok -synth issue1366: ok -synth issue965: ok -synth issue1234: ok -synth issue1977: ok -synth issue1536: ok -synth issue1127: ok -synth issue1236: ok -synth issue1838: ok -synth issue1237: ok -synth issue1283: ok -synth issue1859: ok -synth issue1537: ok -synth issue1860: ok -synth issue1978: ok -synth issue1130: ok -synth issue972: ok -synth issue1367: ok -synth issue1288: ok -synth issue1175: ok -synth issue1540: ok -synth issue1132: ok -synth issue1372: ok -synth synth56: ok -synth issue1176: ok -synth issue1133: ok -synth issue1292: ok -synth issue1008: ok -synth issue973: ok -synth issue1387: ok -synth issue1294: ok -synth synth58: ok -synth issue1009: ok -synth issue1238: ok -synth issue1011: ok -synth aggr01: ok -synth issue1177: ok -synth synth60: ok -synth issue412: ok -synth issue1295: ok -synth issue1239: ok -synth lib01: ok -synth issue1178: ok -synth issue1179: ok -synth issue1139: ok -synth synth76: ok -synth issue1014: ok -synth lit01: ok -synth issue1180: ok -synth issue1563: ok -synth issue1298: ok -synth issue1018: ok -synth issue1302: ok -synth aggr02: ok -synth issue1572: ok -synth issue1181: ok -synth issue1307: ok -synth issue1182: ok -synth issue1240: ok -synth issue1186: ok -synth issue1310: ok -synth issue1390: ok -synth issue1190: ok -synth synth8: ok -synth issue1406: ok -synth aggr03: ok -synth synth80: ok -synth issue1193: ok -synth issue1591: ok -synth issue1140: ok -synth issue1144: ok -synth issue1197: ok +..Running with 16 test workers. +synth issue1251: ok +synth issue1107: ok +synth issue1050: ok +synth issue1207: ok synth issue1596: ok -synth issue1241: ok -synth issue1311: ok -synth issue1146: ok synth issue1313: ok -synth issue1242: ok -synth dff03: ok -synth issue1198: ok -synth issue1021: ok -synth issue1148: ok -synth synth87: ok -synth issue1153: ok -synth synth93: ok -synth issue1199: ok -synth issue1069: ok -synth issue1207: ok -synth issue1023: ok -synth issue1609: ok -synth match01: ok -synth issue1243: ok +synth issue1162: ok +synth issue1889: ok +synth issue965: ok synth issue1208: ok -synth issue1074: ok -synth anon01: ok -synth issue1407: ok -synth issue1075: ok -synth issue1314: ok +synth issue1253: ok +synth synth44: ok +synth issue1109: ok synth issue1209: ok -synth issue1886: ok -synth issue1316: ok -synth issue1250: ok +synth issue1254: ok +synth issue1113: ok synth issue1210: ok -synth issue1889: ok -synth issue1251: ok +synth issue1899: ok +synth issue1054: ok +synth issue1314: ok +synth issue1903: ok +synth issue972: ok +synth issue1316: ok +synth issue1114: ok +synth synth45: ok +synth issue1609: ok synth issue1317: ok -synth issue1408: ok +synth issue1163: ok +synth issue412: ok synth issue1318: ok -synth issue1155: ok -synth issue1253: ok +synth issue1116: ok +synth issue1413: ok +synth synth47: ok synth issue1628: ok -synth dff05: ok -synth issue1899: ok -synth issue1025: ok -synth issue1903: ok -synth issue1254: ok -synth issue1032: ok -synth issue1157: ok -synth issue1033: ok -synth uassoc01: ok -synth issue1158: ok +synth slice02: ok synth issue1258: ok -synth issue1645: ok -synth issue1211: ok -synth issue1650: ok -synth issue1212: ok -synth issue1034: ok +synth issue1058: ok +synth issue1164: ok +synth issue973: ok synth issue1909: ok -synth issue1413: ok +synth issue1004: ok synth issue1911: ok -synth issue1035: ok -synth unary01: ok -synth issue1264: ok -synth issue1319: ok +synth synth48: ok +synth aggr01: ok +synth issue1414: ok synth issue1912: ok -synth issue1036: ok +synth synth50: ok +synth issue1165: ok +synth issue1062: ok +synth synth52: ok +synth issue1211: ok +synth issue1645: ok +synth issue1212: ok synth issue1920: ok -synth issue1076: ok -synth issue1414: ok -synth issue1039: ok -synth issue1159: ok -synth issue1265: ok +synth issue1117: ok +synth lib01: ok +synth issue1650: ok +synth issue1005: ok +synth issue1006: ok synth issue1926: ok -synth issue1217: ok -synth issue1041: ok +synth issue1007: ok synth issue1936: ok +synth synth56: ok +synth issue1264: ok +synth issue1319: ok synth issue1938: ok -synth issue1160: ok synth issue1942: ok +synth issue1417: ok synth issue1944: ok +synth synth58: ok +synth issue1265: ok +synth issue1166: ok +synth issue1122: ok +synth lit01: ok +synth issue1421: ok +synth aggr02: ok +synth issue1217: ok +synth synth60: ok +synth issue1167: ok +synth issue1424: ok synth issue1654: ok -synth issue1077: ok -synth issue1042: ok +synth issue1426: ok synth issue1218: ok -synth issue1078: ok synth issue1658: ok -synth issue1043: ok synth issue1321: ok -synth issue1079: ok synth issue1266: ok -synth issue1044: ok -synth issue1417: ok +synth synth76: ok synth issue1267: ok +synth issue1008: ok +synth issue1064: ok +synth issue1168: ok +synth aggr03: ok +synth issue1068: ok synth issue1271: ok -synth issue1421: ok +synth issue1665: ok +synth issue1009: ok synth issue1219: ok synth issue1272: ok -synth issue1665: ok -synth issue1424: ok -synth issue1046: ok -synth issue1426: ok -synth issue1047: ok +synth issue1011: ok +synth issue1169: ok +synth issue1428: ok synth issue1322: ok -synth issue1050: ok -synth issue662: ok +synth issue1430: ok +synth issue1170: ok +synth issue1172: ok +synth anon01: ok synth issue1324: ok -synth issue1220: ok -synth dispin01: ok -synth issue872: ok -synth issue1054: ok -synth issue1080: ok -synth issue1221: ok -synth issue882: ok +synth issue1014: ok synth issue1945: ok -synth issue936: ok -synth arr01: ok -synth issue1161: ok -synth issue1081: ok +synth match01: ok +synth issue1018: ok synth issue1947: ok +synth issue1220: ok synth issue1948: ok +synth synth8: ok +synth issue1442: ok synth issue1949: ok -synth issue1162: ok +synth issue1221: ok +synth issue1454: ok +synth synth80: ok +synth issue1175: ok synth issue1325: ok -synth issue1058: ok -synth issue1428: ok +synth issue1176: ok synth issue1273: ok -synth issue1430: ok -synth issue1277: ok +synth issue1177: ok synth issue1225: ok -synth issue1062: ok -synth issue937: ok +synth synth87: ok +synth issue1277: ok +synth synth93: ok +synth issue1230: ok +synth issue1178: ok synth issue1280: ok +synth issue1021: ok +synth issue1231: ok +synth issue1234: ok +synth issue1179: ok +synth issue1023: ok synth issue1282: ok -synth issue1163: ok -synth issue1164: ok -synth issue1082: ok -synth issue1442: ok +synth issue1236: ok +synth issue1237: ok +synth issue1180: ok +synth issue1181: ok +synth issue1283: ok +synth issue1182: ok +synth issue1025: ok +synth issue1126: ok +synth issue1032: ok +synth issue1675: ok +synth issue1186: ok +synth issue1288: ok +synth issue1033: ok +synth issue1679: ok +synth issue1127: ok +synth issue1292: ok +synth issue1680: ok +synth issue1238: ok +synth issue1190: ok +synth uassoc01: ok +synth issue1294: ok +synth issue1681: ok +synth issue662: ok +synth issue1130: ok +synth issue1685: ok +synth issue1330: ok +synth issue1698: ok +synth issue1193: ok +synth issue1239: ok +synth issue1034: ok +synth issue872: ok +synth issue1703: ok +synth issue1132: ok +synth issue1295: ok +synth issue1332: ok +synth issue882: ok +synth unary01: ok +synth issue1069: ok +synth issue936: ok +synth issue1197: ok +synth issue1035: ok +synth issue1133: ok +synth issue1333: ok +synth issue1074: ok +synth issue1036: ok +synth issue1075: ok +synth issue1198: ok +synth issue1342: ok +synth issue1039: ok +synth issue1731: ok +synth issue1298: ok +synth issue1199: ok +synth issue1041: ok +synth issue1302: ok +synth issue1734: ok +synth dff01: ok +synth issue1042: ok +synth issue1240: ok +synth issue1951: ok +synth issue1345: ok +synth issue1961: ok +synth issue1307: ok +synth issue1043: ok +synth issue1780: ok +synth issue1139: ok +synth issue1044: ok +synth issue1962: ok +synth issue937: ok +synth arr01: ok +synth issue1310: ok +synth issue1968: ok +synth issue1241: ok +synth issue1971: ok +synth issue1046: ok synth issue938: ok +synth issue1242: ok +synth issue1972: ok +synth issue1311: ok +synth issue1047: ok synth issue940: ok -synth issue1454: ok -synth issue1083: ok -synth issue1165: ok +synth issue1348: ok synth issue941: ok -synth issue1086: ok +synth issue1977: ok +synth issue1366: ok +synth issue1243: ok synth issue944: ok -synth mem01: ok +synth issue1076: ok +synth issue1978: ok synth issue945: ok -synth arr02: ok synth issue946: ok +synth issue1140: ok +synth issue1367: ok +synth issue1144: ok +synth issue1077: ok synth issue947: ok -synth issue1675: ok +synth issue1078: ok +synth issue1146: ok synth issue948: ok -synth issue1679: ok -synth issue1680: ok -synth issue1330: ok -synth issue1166: ok -synth issue1064: ok +synth arr02: ok +synth issue1372: ok +synth issue1148: ok +synth issue1250: ok +synth issue1781: ok +synth issue1079: ok +synth issue1153: ok +synth issue1832: ok +synth issue1387: ok synth arr03: ok -synth issue1068: ok -synth issue1090: ok -synth issue1681: ok -synth issue1332: ok -synth issue1685: ok -synth issue1095: ok synth issue951: ok -synth issue1167: ok -synth issue1698: ok -synth issue1333: ok +synth issue1838: ok synth issue953: ok -synth issue1703: ok +synth mem01: ok +synth issue1859: ok synth issue954: ok -synth issue1342: ok -synth issue1096: ok -synth issue1099: ok -synth issue1168: ok -synth issue1345: ok -synth issue1169: ok +synth issue1860: ok +synth issue1155: ok +synth issue1157: ok synth issue955: ok synth issue956: ok -synth issue1731: ok -synth issue1170: ok -synth issue1951: ok -synth issue1961: ok -synth issue1172: ok +synth issue1080: ok synth issue958: ok -synth issue1734: ok -synth issue1962: ok -synth issue1780: ok -synth issue1968: ok -synth issue1971: ok +synth issue1158: ok +synth issue1081: ok +synth issue1460: ok +synth issue1390: ok synth issue960: ok -synth issue1348: ok -synth issue1972: ok synth issue962: ok -synth issue1100: ok -synth issue1101: ok -synth issue1107: ok -synth mem02: ok -synth dispout01: ok -synth issue1109: ok -synth issue1113: ok -synth issue1114: ok +synth sns01: ok +synth issue1406: ok +synth issue1475: ok +synth issue1159: ok +synth issue1160: ok +synth issue1481: ok +synth dff02: ok +synth issue1503: ok +synth issue1082: ok +synth issue1509: ok +synth issue1083: ok synth issue963: ok -synth var01: ok -synth issue1116: ok -synth dispvhdl01: ok -synth issue1781: ok +synth issue1407: ok +synth issue1086: ok +synth mem02: ok synth issue964: ok -synth issue1832: ok -synth enum01: ok -synth issue1117: ok -synth issue1122: ok +synth issue1408: ok +synth issue1090: ok +synth issue1520: ok +synth issue1095: ok +synth issue1161: ok +synth issue1096: ok +synth issue1886: ok +synth issue1099: ok +synth var01: ok synth asgn01: ok synth assertassumes0: ok -synth exit01: ok +synth issue1531: ok synth assumeasserts0: ok +synth dff03: ok +synth issue1534: ok +synth issue1100: ok +synth issue1536: ok +synth issue1537: ok +synth issue1101: ok +synth issue1540: ok synth mem2d01: ok -synth snsuns01: ok -synth stmt01: ok +synth dff05: ok synth blackbox01: ok -synth forgen01: ok -synth string01: ok -synth issue1460: ok -synth issue1475: ok synth block01: ok -synth issue1481: ok -synth issue1503: ok +synth issue1563: ok synth bug01: ok synth bug02: ok -synth subprg01: ok +synth issue1572: ok synth bug04: ok -synth issue1509: ok -synth issue1520: ok +synth issue1591: ok +synth dispin01: ok +synth case01: ok +synth case02: ok +synth memmux01: ok +synth cnt01: ok +synth null01: ok +synth dispout01: ok +synth comp01: ok +synth comp02: ok +synth dispvhdl01: ok +synth comp03: ok +synth concat01: ok +synth enum01: ok +synth const01: ok +synth conv01: ok +synth exit01: ok +synth snsuns01: ok +synth stmt01: ok +synth forgen01: ok +synth string01: ok +synth subprg01: ok +synth oper01: ok synth synth104: ok -synth fsm01: ok synth synth108: ok synth synth110: ok -synth issue1126: ok +synth fsm01: ok synth fsm02: ok synth fsm03: ok -synth issue1531: ok -synth case01: ok -synth issue1534: ok -synth case02: ok synth synth111: ok -synth memmux01: ok synth synth115: ok -synth null01: ok -synth cnt01: ok +synth oper02: ok synth synth12: ok synth synth128: ok synth func01: ok -synth comp01: ok -synth func02: ok +synth output01: ok +synth physical01: ok synth synth129: ok -synth comp02: ok -synth comp03: ok +synth func02: ok synth synth14: ok -synth concat01: ok +synth pkg01: ok +synth pragma01: ok synth func03: ok -synth gen01: ok synth synth154: ok +synth gen01: ok synth synth26: ok -synth const01: ok synth synth27: ok -synth conv01: ok synth synth33: ok +synth psl01: ok synth iassoc01: ok -synth oper01: ok synth synth34: ok synth if01: ok synth if02: ok synth synth36: ok +synth psl02: ok synth if03: ok synth synth38: ok +synth range01: ok +synth rec01: ok synth insert01: ok synth synth39: ok synth int01: ok synth issue1002: ok -synth synth40: ok -synth synth44: ok -synth synth45: ok -synth dff01: ok -synth synth47: ok -synth synth48: ok -synth issue1004: ok -synth issue1005: ok -synth issue1006: ok -synth issue1007: ok -synth oper02: ok -synth output01: ok -synth physical01: ok -synth pkg01: ok -synth pragma01: ok -synth dff02: ok -synth psl01: ok -synth psl02: ok -synth range01: ok -synth rec01: ok synth ret01: ok +synth synth40: ok synth simple01: ok synth slice01: ok -synth slice02: ok -synth sns01: ok synth tests are successful [GHDL - test] vpi -..Running with 15 test workers. -vpi vpi001: ok +..Running with 16 test workers. +vpi vpi003: ok vpi vpi004: ok +vpi vpi001: ok vpi vpi002: ok -vpi vpi003: ok vpi vpi005: ok vpi tests are successful [GHDL - test] vhpi -..Running with 15 test workers. +..Running with 16 test workers. +vhpi 004trace_stdout: ok vhpi 002load_entrypoint: ok -vhpi 005trace_file: ok vhpi 001load_lib: ok -vhpi 004trace_stdout: ok +vhpi 005trace_file: ok vhpi 003load_multi_lib: ok vhpi tests are successful [GHDL - test] SUCCESSFUL @@ -16696,9 +16732,9 @@ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ - GNATMAKE="gnatmake -v -j15 -R -eS " all + GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' -gnatmake -v -j15 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ +gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now @@ -16829,7 +16865,7 @@ End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' -gnatmake -v -j15 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ +gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o @@ -17016,7 +17052,7 @@ gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -17092,12 +17128,12 @@ gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -17105,7 +17141,7 @@ "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -17399,7 +17435,7 @@ Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity -..Running with 15 test workers. +..Running with 16 test workers. sanity 005examples: ok sanity 006upf: ok sanity 000hello: ok @@ -17408,632 +17444,627 @@ sanity 004all08: ok sanity tests are successful [GHDL - test] gna -..Running with 15 test workers. -gna issue1623: ok -gna issue333: ok -gna issue623: ok +..Running with 16 test workers. +gna issue1500: ok +gna issue381: ok +gna issue1897: ok +gna issue151: ok +gna issue772: ok +gna ticket52: ok +gna bug034: ok +gna issue1364: ok +gna issue26: ok +gna issue1128: ok +gna issue1370: ok +gna issue521: ok +gna issue922: ok +gna issue1371: ok +gna bug01: ok +gna issue1514: ok +gna issue382: ok +gna ticket53: ok +gna bug079: ok +gna issue261: ok +gna issue384: ok +gna issue387: ok +gna issue1515: ok +gna issue388: ok +gna issue1898: ok +gna ticket54: ok +gna issue643: ok +gna issue389: ok +gna issue961: ok +gna issue262: ok +gna issue1708: ok +gna ticket55: ok +gna issue776: ok +gna issue190: ok +gna issue1129: ok +gna ticket56: ok +gna issue392: ok +gna issue777: ok +gna issue522: ok +gna issue779: ok +gna issue1517: ok +gna issue263: ok +gna issue645: ok +gna issue1908: ok +gna bug18810: ok +gna bug010: ok +gna issue191: ok +gna issue524: ok +gna issue264: ok +gna issue1715: ok +gna issue98: ok +gna ticket57: ok +gna issue152: ok +gna issue1717: ok +gna issue1376: ok +gna issue394: ok +gna ticket58: ok +gna issue395: ok +gna issue525: ok +gna issue1913: ok +gna issue1377: ok +gna issue1718: ok +gna bug19195: ok +gna issue265: ok +gna issue1523: ok +gna issue983: ok +gna ticket59: ok +gna issue780: ok +gna issue397: ok +gna issue40: ok +gna issue1131: ok +gna issue268: ok +gna issue1379: ok +gna issue529: ok +gna ticket61: ok +gna issue786: ok +gna issue400: ok +gna issue984: ok +gna bug20255: ok +gna issue273: ok +gna issue1721: ok +gna issue278: ok +gna issue283: ok +gna issue787: ok +gna issue1137: ok +gna issue646: ok +gna issue1528: ok +gna issue1914: ok +gna issue99: ok +gna issue1381: ok +gna issue530: ok +gna ticket62: ok +gna issue406: ok +gna issue1919: ok +gna issue1723: ok +gna bug0100: ok +gna issue1384: ok +gna ticket63: ok +gna issue1724: ok +gna issue788: ok +gna bug0101: ok +gna issue290: ok +gna issue1385: ok +gna lsp27: ok +gna bug20312: ok +gna issue648: ok +gna issue1138: ok +gna issue1726: ok +gna ticket64: ok +gna bug0103: ok +gna issue791: ok +gna issue1727: ok +gna issue652: ok +gna issue1386: ok +gna issue792: ok +gna bug20549: ok +gna bug0104: ok +gna issue531: ok +gna bug20597: ok +gna issue535: ok +gna issue794: ok +gna issue654: ok +gna issue1736: ok +gna issue1751: ok +gna issue407: ok +gna issue413: ok +gna issue1924: ok +gna bug20703: ok +gna issue293: ok +gna issue1387: ok +gna issue1145: ok +gna issue660: ok +gna issue1757: ok +gna ticket65: ok +gna issue542: ok +gna issue1934: ok +gna issue295: ok +gna bug20767: ok +gna issue1389: ok +gna issue1152: ok +gna issue1759: ok +gna issue1935: ok +gna issue795: ok +gna bug08: ok +gna bug20771: ok +gna bug080: ok +gna issue1392: ok +gna bug0105: ok +gna issue176: ok gna bug21052: ok -gna issue212: ok -gna issue1403: ok +gna issue1943: ok +gna bug0106: ok +gna ticket66: ok gna bug21078: ok -gna ticket49: ok -gna issue9: ok +gna issue1953: ok +gna issue543: ok gna bug21274: ok -gna ticket50: ok -gna issue1624: ok -gna issue458: ok -gna issue729: ok -gna issue1810: ok -gna bug01: ok -gna issue1226: ok -gna issue1404: ok +gna bug0108: ok +gna issue797: ok +gna issue663: ok +gna issue1979: ok +gna issue803: ok +gna bug081: ok +gna bug082: ok +gna issue1191: ok +gna issue1764: ok +gna issue666: ok +gna issue1196: ok +gna ticket67: ok +gna issue544: ok +gna issue1765: ok +gna issue14: ok +gna ticket68: ok +gna issue140: ok gna bug21332: ok -gna issue73: ok -gna issue626: ok -gna issue339: ok -gna issue1814: ok -gna issue627: ok -gna issue630: ok -gna issue631: ok -gna issue216: ok +gna issue1403: ok +gna issue546: ok +gna issue1768: ok +gna issue1201: ok +gna ticket69: ok +gna issue1549: ok +gna bug083: ok +gna bug21487: ok +gna issue547: ok +gna issue155: ok +gna bug0109: ok +gna issue1404: ok +gna issue156: ok +gna ticket70: ok +gna issue807: ok +gna bug035: ok +gna bug036: ok +gna issue552: ok +gna issue553: ok +gna bug21497: ok +gna issue1206: ok gna issue1405: ok -gna issue632: ok -gna issue223: ok +gna issue558: ok +gna issue158: ok +gna ticket71: ok gna issue141: ok -gna bug21487: ok -gna issue349: ok -gna issue1818: ok +gna issue810: ok gna issue1412: ok -gna issue351: ok -gna issue1228: ok -gna issue731: ok -gna bug010: ok -gna issue1625: ok -gna issue356: ok -gna ticket51: ok -gna issue734: ok -gna issue1229: ok -gna issue634: ok -gna issue912: ok +gna issue813: ok +gna issue1771: ok +gna issue418: ok +gna issue1588: ok +gna issue559: ok +gna issue1224: ok +gna issue816: ok +gna ticket73: ok +gna bug011: ok +gna issue419: ok +gna issue817: ok gna issue1415: ok -gna ticket52: ok -gna bug21497: ok -gna issue361: ok -gna issue163: ok -gna issue367: ok -gna issue735: ok -gna issue225: ok -gna issue1416: ok -gna issue123: ok -gna issue1631: ok -gna ticket53: ok -gna issue913: ok -gna issue368: ok -gna bug084: ok -gna issue459: ok +gna issue42: ok gna bug21500: ok -gna ticket54: ok -gna issue1637: ok -gna issue916: ok +gna issue560: ok gna bug21513: ok -gna issue1820: ok -gna issue369: ok -gna issue1639: ok -gna issue1823: ok -gna bug085: ok -gna issue1233: ok -gna issue227: ok -gna ticket55: ok -gna issue1640: ok -gna issue1824: ok -gna issue736: ok +gna issue1589: ok +gna ticket74: ok +gna issue668: ok +gna bug0110: ok +gna issue1416: ok +gna bug0111: ok +gna bug0112: ok +gna bug0114: ok +gna issue1772: ok gna bug22868: ok -gna ticket56: ok -gna issue1646: ok -gna issue461: ok +gna issue1226: ok +gna ticket75: ok +gna issue1593: ok gna bug23013: ok -gna issue917: ok -gna issue370: ok -gna ticket57: ok +gna issue424: ok +gna bug0115: ok +gna issue1597: ok +gna ticket76: ok +gna issue561: ok +gna issue1779: ok +gna issue43: ok +gna bug084: ok +gna issue67: ok +gna issue1228: ok +gna issue563: ok +gna issue439: ok +gna bug085: ok +gna issue1229: ok +gna issue160: ok +gna issue1980: ok +gna issue18: ok +gna issue1419: ok +gna issue199: ok +gna issue1612: ok +gna issue1623: ok +gna issue123: ok gna bug086: ok +gna issue672: ok +gna issue1810: ok gna bug087: ok -gna ticket58: ok -gna issue1831: ok -gna issue465: ok -gna issue918: ok -gna issue228: ok +gna issue578: ok gna bug088: ok -gna bug0100: ok -gna issue1654: ok -gna issue1419: ok -gna bug0101: ok -gna ticket59: ok -gna issue371: ok -gna issue1240: ok -gna issue467: ok -gna issue922: ok -gna issue635: ok -gna ticket61: ok -gna issue470: ok -gna issue1832: ok -gna bug0103: ok -gna issue233: ok -gna issue636: ok -gna issue372: ok -gna bug09: ok -gna bug0104: ok -gna issue373: ok -gna issue471: ok +gna bug23165: ok +gna issue1624: ok +gna issue44: ok +gna ticket77: ok +gna issue676: ok +gna issue679: ok gna issue1420: ok -gna issue374: ok -gna issue472: ok -gna issue637: ok -gna issue235: ok -gna issue1833: ok -gna issue1246: ok -gna issue961: ok -gna ticket62: ok +gna issue1814: ok +gna issue1233: ok +gna issue818: ok +gna issue584: ok +gna ticket78: ok +gna bug23482: ok +gna ticket79: ok +gna issue1818: ok +gna bug09: ok +gna issue586: ok +gna issue821: ok gna issue1429: ok -gna issue473: ok -gna issue375: ok -gna ticket63: ok -gna issue474: ok -gna bug23165: ok -gna issue737: ok -gna issue1249: ok +gna issue440: ok +gna ticket81: ok +gna issue683: ok +gna issue441: ok +gna ticket83: ok +gna issue598: ok gna issue143: ok -gna issue1834: ok -gna issue237: ok -gna issue747: ok -gna issue125: ok -gna issue98: ok -gna ticket64: ok -gna bug23482: ok -gna issue1252: ok -gna issue1655: ok +gna issue447: ok +gna issue1625: ok +gna issue1240: ok +gna issue449: ok +gna ticket84: ok +gna issue606: ok +gna issue685: ok +gna issue609: ok +gna issue163: ok +gna bug0117: ok +gna bug24064: ok +gna issue828: ok +gna bug0118: ok gna issue1431: ok +gna issue830: ok +gna issue1246: ok gna issue144: ok -gna bug0105: ok -gna issue476: ok -gna issue983: ok -gna bug0106: ok -gna bug090: ok -gna issue1836: ok -gna bug092: ok -gna issue1837: ok -gna bug0108: ok -gna issue1256: ok -gna issue478: ok -gna issue756: ok -gna issue772: ok -gna bug093: ok -gna issue1843: ok -gna ticket65: ok -gna issue1657: ok -gna issue984: ok -gna issue1664: ok -gna bug24064: ok -gna issue376: ok -gna issue379: ok -gna issue1844: ok -gna issue99: ok -gna issue38: ok +gna ticket86: ok +gna issue1631: ok +gna issue838: ok gna bug24065: ok -gna issue1667: ok -gna issue381: ok gna bug24324: ok -gna issue1440: ok -gna issue167: ok -gna issue776: ok -gna ticket66: ok -gna issue1857: ok -gna bug0109: ok -gna lsp27: ok -gna issue777: ok +gna issue1820: ok +gna bug012: ok +gna issue1249: ok +gna issue1823: ok +gna bug0120: ok gna bug24326: ok -gna issue1257: ok -gna issue779: ok +gna bug090: ok +gna issue1637: ok +gna bug0122: ok +gna issue1824: ok gna bug7186: ok +gna bug092: ok +gna issue2: ok +gna issue1639: ok +gna issue1640: ok +gna issue125: ok +gna issue1252: ok +gna issue1646: ok +gna ticket87: ok +gna bug093: ok +gna issue1831: ok +gna issue20: ok +gna ticket88: ok +gna issue45: ok +gna issue610: ok +gna issue613: ok +gna bug0123: ok +gna bug0124: ok +gna issue1654: ok +gna issue201: ok +gna issue1440: ok +gna bug7751: ok +gna issue1256: ok +gna issue615: ok +gna issue852: ok +gna ticket9: ok +gna deb573721: ok +gna bug0125: ok +gna issue450: ok +gna bug0126: ok +gna issue1832: ok +gna issue687: ok +gna issue857: ok gna issue1443: ok +gna issue860: ok +gna bug014: ok +gna deb585748: ok +gna issue202: ok +gna issue864: ok +gna ticket90: ok +gna issue204: ok gna bug094: ok -gna ticket67: ok -gna issue382: ok -gna issue126: ok -gna issue384: ok -gna issue387: ok +gna issue616: ok +gna issue1833: ok +gna issue869: ok +gna issue873: ok +gna issue689: ok +gna issue451: ok +gna issue205: ok +gna issue456: ok gna bug096: ok -gna issue1672: ok -gna issue388: ok -gna issue780: ok -gna ticket68: ok gna issue1453: ok -gna issue389: ok -gna issue1677: ok -gna issue1862: ok -gna bug037: ok -gna issue238: ok -gna issue1684: ok -gna issue786: ok -gna bug011: ok -gna issue392: ok +gna issue207: ok +gna deb585750: ok +gna gls7: ok +gna issue874: ok +gna bug015: ok +gna issue209: ok +gna issue1834: ok +gna issue875: ok +gna issue458: ok +gna issue1257: ok +gna issue212: ok +gna issue69: ok +gna issue690: ok +gna issue618: ok +gna ticket92: ok +gna issue691: ok +gna issue1655: ok gna bug097: ok -gna bug7751: ok -gna issue1864: ok -gna deb573721: ok +gna bug016: ok +gna issue126: ok gna bug098: ok -gna bug039: ok -gna ticket69: ok -gna bug0110: ok -gna issue787: ok +gna issue877: ok gna bug099: ok -gna bug0111: ok -gna issue394: ok -gna bug0112: ok -gna bug0114: ok -gna issue1687: ok -gna issue1262: ok -gna deb585748: ok -gna issue395: ok -gna issue1867: ok -gna ticket70: ok -gna bug04: ok gna issue1455: ok -gna issue788: ok +gna ticket93: ok gna issue1456: ok -gna bug0115: ok -gna issue397: ok -gna deb585750: ok +gna issue620: ok gna issue1461: ok -gna issue1688: ok -gna gls7: ok -gna issue1268: ok -gna issue241: ok -gna issue40: ok -gna issue1872: ok -gna issue1875: ok -gna issue1269: ok -gna ticket71: ok -gna issue791: ok +gna issue216: ok +gna issue1836: ok +gna issue621: ok +gna issue1657: ok +gna issue10: ok +gna issue223: ok +gna issue1837: ok +gna issue623: ok +gna issue1015: ok +gna issue1664: ok gna bug14953: ok -gna issue792: ok gna issue1469: ok -gna issue242: ok -gna issue400: ok -gna issue1274: ok -gna ticket73: ok -gna issue794: ok -gna issue243: ok -gna issue1689: ok +gna issue103: ok +gna issue692: ok +gna issue1262: ok +gna issue1843: ok +gna issue880: ok +gna issue881: ok +gna issue1667: ok +gna issue147: ok gna bug15638: ok +gna issue1473: ok gna bug15702: ok -gna issue147: ok +gna issue167: ok +gna issue1268: ok gna bug15933: ok -gna issue1876: ok -gna issue1473: ok -gna ticket74: ok -gna issue1690: ok -gna issue406: ok -gna issue244: ok -gna issue10: ok +gna issue225: ok +gna issue626: ok +gna issue1844: ok +gna issue1269: ok +gna issue627: ok +gna bug037: ok +gna issue885: ok +gna issue630: ok +gna issue694: ok +gna issue459: ok +gna issue631: ok +gna issue695: ok +gna issue1274: ok +gna issue632: ok gna bug15966: ok -gna issue1287: ok -gna ticket75: ok -gna issue1015: ok -gna issue25: ok -gna issue1288: ok -gna issue1691: ok -gna issue1881: ok -gna issue103: ok -gna issue795: ok -gna ticket76: ok -gna issue1697: ok -gna issue1292: ok +gna issue886: ok +gna bug039: ok +gna issue634: ok +gna issue227: ok +gna issue461: ok +gna issue697: ok +gna issue1672: ok gna issue1474: ok -gna issue1883: ok +gna issue705: ok +gna issue1857: ok +gna issue1677: ok gna issue148: ok -gna issue797: ok -gna issue803: ok -gna issue253: ok -gna issue1295: ok +gna issue465: ok +gna issue228: ok gna issue1480: ok -gna issue407: ok -gna issue1894: ok -gna issue413: ok +gna issue1287: ok +gna bug04: ok gna issue1485: ok -gna bug15993: ok +gna issue707: ok +gna issue1684: ok +gna issue1288: ok +gna issue1038: ok gna issue1490: ok -gna issue1897: ok +gna issue887: ok +gna bug15993: ok gna bug16095: ok +gna issue233: ok +gna issue708: ok gna bug16096: ok gna bug16144: ok -gna issue17: ok -gna issue254: ok -gna issue1700: ok -gna bug16287: ok +gna issue467: ok +gna issue1687: ok +gna issue1862: ok +gna issue71: ok +gna issue470: ok +gna issue1292: ok gna issue1493: ok -gna ticket77: ok -gna bug0117: ok -gna bug0118: ok -gna issue1300: ok +gna ticket94: ok +gna issue471: ok +gna issue235: ok +gna issue1864: ok +gna issue1688: ok +gna issue899: ok +gna issue472: ok +gna ticket95: ok +gna bug16287: ok +gna issue710: ok +gna issue1295: ok +gna issue9: ok +gna issue1055: ok gna issue15: ok -gna issue132: ok -gna ticket78: ok -gna bug012: ok -gna issue807: ok -gna bug0120: ok -gna issue1038: ok -gna issue641: ok +gna issue1689: ok +gna issue473: ok +gna issue474: ok +gna issue1867: ok +gna ticket96: ok +gna issue1057: ok +gna issue237: ok +gna ticket97: ok gna bug16695: ok -gna ticket79: ok -gna bug0122: ok -gna issue1898: ok -gna issue256: ok -gna issue810: ok -gna issue1704: ok +gna issue1690: ok +gna ticket98: ok +gna issue1300: ok +gna issue1691: ok gna bug16782: ok -gna issue813: ok -gna ticket81: ok -gna issue190: ok -gna issue816: ok -gna ticket83: ok +gna issue1872: ok +gna issue1875: ok +gna issue132: ok gna issue150: ok -gna issue817: ok -gna issue1908: ok -gna issue191: ok +gna issue1697: ok +gna issue635: ok +gna issue636: ok gna bug17127: ok -gna bug0123: ok -gna issue1500: ok -gna issue642: ok -gna bug0124: ok -gna issue1323: ok -gna issue151: ok -gna issue257: ok -gna ticket84: ok +gna issue476: ok +gna issue713: ok +gna issue912: ok +gna issue478: ok +gna issue637: ok gna bug17202: ok -gna issue1913: ok -gna issue1514: ok -gna bug0125: ok -gna bug0126: ok -gna issue1055: ok -gna ticket86: ok +gna issue106: ok +gna issue1876: ok +gna issue1323: ok +gna issue913: ok gna bug17203: ok +gna issue17: ok +gna issue1881: ok +gna issue1700: ok +gna issue1063: ok +gna issue1066: ok +gna issue916: ok +gna issue1883: ok gna issue1326: ok -gna bug014: ok -gna issue1515: ok -gna issue1057: ok -gna issue643: ok -gna issue258: ok +gna issue715: ok +gna issue1067: ok +gna issue717: ok +gna issue1894: ok +gna issue917: ok +gna issue107: ok gna issue1338: ok -gna issue1708: ok -gna issue259: ok -gna issue645: ok -gna issue418: ok -gna issue1517: ok -gna issue419: ok -gna ticket87: ok -gna issue42: ok -gna ticket88: ok -gna bug015: ok -gna issue152: ok -gna issue1715: ok +gna issue918: ok +gna issue718: ok +gna issue1704: ok +gna issue719: ok +gna issue238: ok gna issue1346: ok -gna issue1914: ok -gna issue1717: ok -gna issue26: ok -gna issue1919: ok -gna issue1718: ok -gna bug016: ok -gna issue1523: ok -gna issue424: ok -gna issue261: ok -gna issue106: ok +gna issue1120: ok +gna issue72: ok gna issue1347: ok -gna ticket9: ok -gna issue43: ok -gna issue1063: ok -gna issue262: ok -gna issue818: ok +gna issue729: ok +gna issue1123: ok +gna issue73: ok gna issue1354: ok -gna issue646: ok -gna issue1066: ok gna issue136: ok -gna issue1721: ok -gna issue1924: ok -gna ticket90: ok -gna issue439: ok -gna issue263: ok -gna issue1528: ok -gna issue821: ok -gna issue264: ok -gna issue1723: ok +gna issue241: ok +gna issue1125: ok gna issue1360: ok -gna issue1724: ok -gna issue1067: ok -gna issue1934: ok -gna issue265: ok -gna issue107: ok -gna issue648: ok -gna issue1726: ok -gna issue1727: ok -gna issue828: ok -gna issue1935: ok -gna issue652: ok -gna issue830: ok -gna issue44: ok -gna ticket92: ok +gna issue242: ok +gna issue731: ok +gna issue734: ok +gna issue243: ok +gna bug017: ok +gna issue735: ok +gna issue244: ok +gna bug018: ok gna bug17309: ok -gna issue838: ok -gna issue268: ok -gna issue1736: ok -gna issue654: ok -gna issue1751: ok -gna issue1943: ok -gna issue1953: ok -gna ticket93: ok +gna issue25: ok gna bug17545: ok -gna issue1979: ok -gna issue1120: ok -gna issue273: ok -gna issue278: ok -gna issue283: ok -gna issue1757: ok -gna issue440: ok -gna issue660: ok -gna issue441: ok +gna issue253: ok gna bug17759: ok -gna issue447: ok -gna issue1123: ok -gna issue449: ok +gna issue736: ok gna issue1361: ok -gna issue290: ok gna bug18280: ok -gna issue1759: ok gna issue1362: ok -gna issue1125: ok -gna issue852: ok +gna issue254: ok gna bug18351: ok -gna issue663: ok -gna issue176: ok -gna issue666: ok -gna issue293: ok -gna issue857: ok -gna issue1364: ok -gna issue1128: ok -gna issue860: ok -gna issue1370: ok gna bug18353: ok -gna issue864: ok -gna issue1371: ok -gna issue869: ok -gna issue1764: ok -gna issue873: ok -gna issue295: ok gna bug18359: ok gna bug18360: ok -gna issue1765: ok gna bug18361: ok -gna issue1768: ok -gna issue1129: ok -gna issue45: ok +gna issue256: ok +gna issue737: ok gna bug18659: ok -gna issue874: ok -gna issue1549: ok -gna issue875: ok -gna issue1980: ok -gna issue155: ok -gna issue877: ok -gna issue156: ok -gna issue1376: ok -gna issue199: ok -gna ticket94: ok -gna issue450: ok -gna issue1377: ok -gna ticket95: ok -gna issue1131: ok -gna issue158: ok -gna issue451: ok -gna issue1379: ok -gna issue1588: ok -gna issue456: ok -gna issue880: ok -gna issue881: ok -gna ticket96: ok -gna issue1771: ok -gna ticket97: ok -gna issue668: ok -gna issue1137: ok -gna ticket98: ok -gna bug18810: ok -gna issue885: ok -gna issue1381: ok -gna issue1589: ok -gna issue1384: ok -gna issue886: ok -gna issue1385: ok -gna issue50: ok -gna issue516: ok -gna bug19195: ok -gna issue520: ok -gna issue1593: ok -gna issue67: ok -gna issue1772: ok -gna issue1386: ok -gna issue1138: ok -gna issue1597: ok -gna issue521: ok -gna issue672: ok -gna issue1779: ok -gna bug20255: ok -gna issue1387: ok -gna issue887: ok -gna issue160: ok -gna issue1145: ok -gna issue676: ok -gna issue1152: ok -gna issue1389: ok -gna issue18: ok -gna issue1612: ok -gna issue679: ok -gna issue899: ok -gna issue522: ok -gna issue2: ok -gna bug20312: ok -gna issue1392: ok -gna issue524: ok -gna issue683: ok -gna issue20: ok -gna bug20549: ok -gna issue1191: ok -gna issue525: ok -gna bug20597: ok -gna issue1196: ok -gna issue201: ok -gna bug20703: ok -gna issue685: ok -gna issue14: ok -gna issue140: ok -gna issue1201: ok -gna issue529: ok -gna bug20767: ok -gna issue202: ok -gna issue204: ok -gna bug017: ok -gna bug20771: ok -gna issue205: ok -gna issue1206: ok -gna issue530: ok -gna issue207: ok -gna bug018: ok -gna issue209: ok -gna issue1224: ok -gna issue531: ok -gna issue535: ok -gna issue687: ok -gna issue542: ok -gna issue689: ok -gna issue543: ok -gna issue69: ok -gna issue690: ok -gna issue691: ok -gna issue544: ok -gna issue546: ok -gna issue692: ok -gna issue547: ok -gna issue552: ok -gna issue553: ok -gna issue694: ok -gna issue558: ok -gna issue695: ok -gna issue559: ok -gna issue697: ok -gna issue705: ok -gna issue560: ok -gna issue707: ok -gna issue708: ok -gna issue561: ok -gna issue71: ok -gna issue563: ok -gna issue710: ok -gna issue578: ok -gna issue584: ok -gna issue713: ok -gna issue586: ok -gna issue598: ok +gna issue747: ok +gna issue257: ok +gna issue641: ok +gna issue756: ok +gna issue258: ok +gna issue259: ok +gna issue642: ok gna bug019: ok -gna issue606: ok -gna issue609: ok -gna issue715: ok -gna issue717: ok gna bug02: ok -gna issue610: ok gna bug021: ok -gna issue613: ok -gna issue718: ok -gna issue719: ok gna bug022: ok -gna issue615: ok -gna issue72: ok -gna issue616: ok +gna issue30: ok +gna issue300: ok gna bug023: ok gna bug024: ok gna bug026: ok gna bug027: ok gna bug028: ok -gna issue618: ok gna bug029: ok -gna issue620: ok -gna issue621: ok gna bug03: ok gna bug030: ok gna bug031: ok gna bug032: ok gna bug033: ok -gna bug034: ok gna perf02-long: ok gna sr2553: ok +gna issue50: ok +gna issue516: ok +gna issue520: ok gna sr2655: ok gna sr2676: ok +gna issue301: ok +gna issue309: ok +gna issue310: ok +gna issue312: ok +gna issue314: ok gna sr2737: ok +gna issue316: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok @@ -18041,66 +18072,83 @@ gna ticket101: ok gna ticket104: ok gna ticket109: ok -gna bug035: ok -gna bug036: ok gna ticket11: ok gna ticket14: ok gna ticket15: ok gna ticket18: ok +gna ticket19: ok +gna ticket20: ok +gna ticket24: ok +gna ticket26: ok +gna ticket29: ok +gna ticket30: ok +gna ticket31: ok +gna ticket32: ok +gna ticket35: ok +gna ticket37: ok +gna ticket38: ok +gna ticket39: ok +gna ticket40: ok +gna ticket41: ok +gna ticket43: ok +gna ticket44: ok +gna ticket46: ok +gna ticket47: ok +gna ticket48: ok +gna ticket49: ok +gna ticket50: ok +gna ticket51: ok gna bug040: ok gna bug041: ok -gna ticket19: ok gna bug042: ok -gna ticket20: ok gna bug043: ok gna bug044: ok -gna ticket24: ok gna bug045: ok -gna ticket26: ok +gna issue317: ok gna bug046: ok gna bug047: ok -gna issue30: ok -gna issue300: ok -gna ticket29: ok -gna ticket30: ok +gna issue320: ok +gna issue321: ok +gna issue326: ok gna bug048: ok gna bug049: ok -gna ticket31: ok gna bug05: ok +gna issue328: ok gna bug050: ok -gna ticket32: ok gna bug051: ok -gna ticket35: ok +gna issue332: ok +gna issue333: ok gna bug052: ok +gna issue339: ok +gna issue349: ok gna bug053: ok +gna issue351: ok +gna issue356: ok gna bug054: ok -gna ticket37: ok -gna issue301: ok +gna issue361: ok gna bug055: ok -gna issue309: ok -gna ticket38: ok +gna issue367: ok +gna issue368: ok gna bug056: ok -gna issue310: ok -gna ticket39: ok -gna issue312: ok -gna ticket40: ok -gna ticket41: ok -gna issue314: ok -gna issue316: ok +gna issue369: ok +gna issue370: ok gna bug057: ok -gna ticket43: ok -gna ticket44: ok +gna issue371: ok +gna issue372: ok gna bug058: ok -gna ticket46: ok +gna issue373: ok +gna issue374: ok gna bug059: ok gna bug06: ok -gna ticket47: ok +gna issue375: ok gna bug060: ok -gna ticket48: ok gna bug061: ok gna bug062: ok gna bug063: ok +gna issue376: ok +gna issue379: ok gna bug064: ok +gna issue38: ok gna bug065: ok gna bug066: ok gna bug067: ok @@ -18113,417 +18161,405 @@ gna bug075: ok gna bug077: ok gna bug078: ok -gna bug079: ok -gna bug08: ok -gna bug080: ok -gna bug081: ok -gna bug082: ok -gna bug083: ok -gna issue317: ok -gna issue320: ok -gna issue321: ok -gna issue326: ok -gna issue328: ok -gna issue332: ok gna tests are successful [GHDL - test] vests Vests is OK 29241 vests.log [GHDL - test] synth -..Running with 15 test workers. -synth issue1230: ok -synth issue1231: ok -synth synth50: ok -synth synth52: ok -synth issue1234: ok -synth issue1977: ok -synth issue1366: ok -synth issue1236: ok -synth issue1237: ok -synth issue965: ok -synth issue1978: ok -synth issue1283: ok -synth issue1838: ok -synth issue1536: ok -synth issue1859: ok -synth issue1860: ok -synth issue972: ok -synth issue1537: ok -synth issue1127: ok -synth issue1175: ok -synth issue1008: ok -synth issue1540: ok -synth issue1130: ok -synth issue1176: ok -synth issue1009: ok -synth issue1011: ok -synth issue1132: ok -synth issue1288: ok -synth issue1177: ok -synth issue1133: ok -synth synth56: ok -synth issue1178: ok -synth issue412: ok -synth issue973: ok -synth issue1179: ok -synth synth58: ok -synth synth60: ok -synth issue1367: ok -synth issue1372: ok -synth issue1180: ok -synth issue1181: ok -synth issue1387: ok -synth issue1014: ok -synth issue1182: ok -synth issue1292: ok -synth issue1186: ok -synth issue1018: ok -synth issue1294: ok -synth issue1190: ok -synth issue1139: ok -synth issue1390: ok -synth issue1238: ok -synth issue1193: ok -synth issue1239: ok -synth synth76: ok -synth lib01: ok -synth issue1197: ok -synth issue1406: ok -synth aggr01: ok -synth issue1198: ok -synth issue1295: ok -synth issue1199: ok -synth issue1407: ok +..Running with 16 test workers. +synth issue1251: ok +synth issue1313: ok synth issue1207: ok +synth issue1889: ok +synth issue1596: ok +synth issue1107: ok +synth synth44: ok synth issue1208: ok -synth issue1408: ok -synth issue1298: ok -synth issue1563: ok +synth issue1162: ok +synth issue1253: ok +synth issue1050: ok +synth issue965: ok +synth issue1314: ok +synth issue1609: ok +synth issue1316: ok +synth issue1317: ok +synth issue1254: ok synth issue1209: ok -synth issue1572: ok synth issue1210: ok -synth issue1302: ok -synth issue1307: ok -synth issue1240: ok -synth lit01: ok -synth issue1310: ok +synth issue1628: ok +synth issue972: ok +synth issue1318: ok synth issue1413: ok synth issue1414: ok -synth issue1021: ok -synth issue1886: ok +synth synth45: ok +synth issue1899: ok +synth issue1903: ok synth issue1417: ok -synth issue1889: ok -synth issue1140: ok -synth synth8: ok -synth synth80: ok +synth issue1054: ok +synth synth47: ok synth issue1421: ok -synth issue1023: ok -synth issue1144: ok -synth issue1591: ok -synth issue1146: ok -synth issue1596: ok -synth issue1148: ok +synth issue412: ok synth issue1424: ok +synth issue1109: ok synth issue1426: ok -synth issue1241: ok -synth issue1609: ok -synth issue1153: ok -synth issue1311: ok -synth issue1313: ok -synth issue1242: ok -synth issue1628: ok -synth issue1243: ok -synth issue1899: ok -synth aggr02: ok -synth issue1314: ok -synth issue1903: ok -synth issue1316: ok -synth issue1317: ok -synth issue1428: ok -synth issue1430: ok -synth issue1318: ok -synth synth87: ok -synth synth93: ok -synth issue1211: ok -synth issue1025: ok -synth match01: ok -synth issue1212: ok -synth issue1032: ok -synth issue1442: ok -synth issue1033: ok -synth issue1454: ok -synth issue1250: ok -synth issue1251: ok synth issue1645: ok -synth issue1253: ok +synth issue1113: ok synth issue1650: ok +synth issue1004: ok +synth issue973: ok +synth synth48: ok +synth synth50: ok +synth issue1163: ok +synth synth52: ok +synth issue1114: ok +synth issue1428: ok +synth issue1258: ok +synth issue1430: ok +synth issue1005: ok +synth issue1164: ok +synth issue1319: ok synth issue1909: ok -synth aggr03: ok +synth issue1006: ok +synth issue1116: ok +synth issue1165: ok synth issue1911: ok -synth issue1254: ok synth issue1912: ok -synth issue1155: ok +synth issue1058: ok synth issue1920: ok synth issue1926: ok synth issue1936: ok +synth issue1007: ok synth issue1938: ok synth issue1942: ok synth issue1944: ok -synth issue1319: ok -synth dff03: ok -synth issue1217: ok -synth issue1034: ok -synth issue1035: ok -synth issue1218: ok -synth issue1036: ok +synth issue1442: ok synth issue1321: ok -synth issue1039: ok -synth issue1041: ok +synth issue1062: ok +synth slice02: ok +synth issue1454: ok +synth issue1211: ok +synth issue1212: ok +synth lib01: ok +synth issue1008: ok synth issue1654: ok +synth aggr01: ok synth issue1658: ok -synth anon01: ok -synth issue1042: ok -synth issue1258: ok -synth issue1069: ok -synth issue1074: ok -synth issue1665: ok -synth issue1075: ok -synth issue1043: ok -synth issue1157: ok +synth synth56: ok synth issue1322: ok -synth issue1044: ok -synth issue662: ok -synth issue1158: ok +synth issue1665: ok +synth issue1264: ok +synth issue1117: ok +synth issue1166: ok +synth issue1009: ok +synth issue1265: ok +synth synth58: ok +synth issue1011: ok +synth issue1167: ok +synth synth60: ok synth issue1324: ok -synth issue1159: ok -synth issue1219: ok -synth issue872: ok -synth issue1160: ok -synth issue882: ok -synth issue936: ok -synth dff05: ok +synth issue1217: ok +synth issue1168: ok +synth lit01: ok +synth issue1169: ok +synth issue1218: ok +synth issue1170: ok +synth issue1172: ok +synth issue1014: ok +synth synth76: ok synth issue1945: ok -synth issue1264: ok synth issue1947: ok synth issue1948: ok +synth issue1266: ok synth issue1949: ok -synth issue1265: ok -synth issue1046: ok -synth issue1047: ok -synth uassoc01: ok -synth issue1050: ok +synth issue1018: ok +synth aggr02: ok synth issue1325: ok -synth unary01: ok -synth issue1220: ok -synth issue1221: ok -synth issue1076: ok -synth issue1266: ok synth issue1267: ok synth issue1271: ok +synth issue1064: ok +synth issue1068: ok synth issue1272: ok -synth issue1077: ok -synth issue1054: ok -synth issue1078: ok -synth issue1079: ok -synth issue937: ok +synth issue1175: ok +synth issue1176: ok +synth issue1177: ok +synth issue1219: ok +synth issue1178: ok +synth issue1179: ok +synth aggr03: ok +synth issue1180: ok +synth match01: ok +synth issue1181: ok +synth issue1182: ok +synth issue1186: ok +synth synth8: ok +synth issue1220: ok +synth synth80: ok +synth issue1190: ok +synth issue1021: ok +synth issue1221: ok +synth issue1193: ok +synth issue1023: ok +synth anon01: ok +synth issue1197: ok +synth synth87: ok +synth issue1198: ok +synth synth93: ok +synth issue1199: ok synth issue1225: ok -synth issue1161: ok -synth issue1162: ok -synth issue1058: ok -synth issue938: ok -synth issue940: ok -synth issue941: ok -synth issue1062: ok -synth issue944: ok -synth issue945: ok -synth issue946: ok -synth issue947: ok -synth issue948: ok +synth issue1230: ok +synth issue1231: ok +synth issue1234: ok synth issue1330: ok -synth issue1163: ok -synth issue1080: ok -synth issue1164: ok +synth issue1236: ok +synth issue1237: ok +synth issue1273: ok synth issue1332: ok -synth issue1081: ok +synth issue1025: ok +synth issue1032: ok +synth issue1033: ok +synth issue1277: ok synth issue1333: ok -synth issue1165: ok +synth issue1280: ok +synth issue1122: ok synth issue1342: ok -synth issue951: ok -synth issue953: ok -synth issue954: ok +synth issue1282: ok +synth issue662: ok synth issue1951: ok synth issue1961: ok synth issue1962: ok -synth issue1273: ok +synth issue1283: ok synth issue1968: ok synth issue1971: ok synth issue1972: ok -synth issue1064: ok -synth issue1277: ok -synth issue1068: ok -synth issue1280: ok -synth issue1166: ok -synth issue1082: ok +synth issue1977: ok +synth issue1978: ok +synth issue1034: ok +synth issue1035: ok synth issue1345: ok -synth issue1282: ok -synth issue1167: ok +synth issue1036: ok +synth issue872: ok +synth issue1039: ok +synth issue882: ok synth issue1675: ok +synth issue936: ok synth issue1679: ok synth issue1680: ok -synth issue1083: ok -synth issue955: ok synth issue1681: ok -synth issue956: ok +synth issue1041: ok synth issue1685: ok -synth issue1086: ok synth issue1698: ok -synth issue1168: ok -synth issue1169: ok -synth issue1170: ok +synth issue1042: ok +synth issue1288: ok synth issue1703: ok -synth issue958: ok -synth issue1172: ok +synth issue1043: ok +synth issue1044: ok +synth issue1238: ok +synth issue1239: ok +synth issue1292: ok +synth uassoc01: ok +synth issue1294: ok +synth issue1046: ok +synth issue937: ok synth issue1348: ok +synth issue1047: ok +synth issue1366: ok +synth unary01: ok +synth issue1240: ok synth issue1731: ok synth issue1734: ok -synth issue960: ok -synth dispin01: ok -synth issue962: ok synth issue1780: ok -synth arr01: ok -synth mem01: ok -synth issue1090: ok -synth issue1095: ok -synth issue1096: ok -synth issue1099: ok +synth issue1295: ok +synth issue938: ok +synth issue940: ok +synth issue1298: ok +synth issue1302: ok +synth issue1307: ok +synth issue941: ok +synth issue944: ok +synth issue945: ok +synth issue1241: ok +synth issue1310: ok +synth issue946: ok +synth issue1242: ok +synth issue947: ok +synth issue948: ok +synth issue1243: ok +synth issue1367: ok +synth issue1372: ok +synth issue1069: ok +synth issue1074: ok +synth issue1075: ok +synth issue1387: ok +synth issue1311: ok synth issue1781: ok +synth issue951: ok synth issue1832: ok -synth issue963: ok -synth issue964: ok +synth issue1250: ok +synth issue953: ok +synth issue954: ok +synth issue1390: ok +synth issue1838: ok +synth issue1859: ok +synth issue1860: ok +synth issue1406: ok +synth issue1407: ok +synth issue1408: ok +synth issue955: ok +synth arr01: ok +synth issue956: ok +synth issue958: ok +synth issue1076: ok +synth dff01: ok +synth issue1077: ok +synth issue1078: ok +synth issue1079: ok +synth mem01: ok +synth issue960: ok +synth issue962: ok synth issue1460: ok -synth arr02: ok synth issue1475: ok synth issue1481: ok synth issue1503: ok -synth var01: ok -synth issue1100: ok +synth issue1886: ok +synth issue1080: ok +synth issue1081: ok +synth issue963: ok +synth arr02: ok synth issue1509: ok +synth sns01: ok +synth issue964: ok +synth issue1082: ok +synth issue1083: ok +synth issue1086: ok synth arr03: ok -synth mem02: ok -synth issue1101: ok -synth issue1107: ok -synth snsuns01: ok synth issue1520: ok -synth issue1109: ok -synth issue1113: ok -synth issue1114: ok -synth issue1116: ok -synth stmt01: ok -synth issue1117: ok +synth mem02: ok +synth var01: ok +synth issue1090: ok +synth issue1095: ok +synth issue1096: ok +synth issue1099: ok synth issue1531: ok -synth string01: ok synth issue1534: ok -synth subprg01: ok +synth issue1536: ok +synth issue1537: ok +synth issue1540: ok +synth dff02: ok +synth issue1100: ok +synth issue1101: ok +synth issue1563: ok +synth issue1572: ok synth mem2d01: ok -synth issue1122: ok -synth dispout01: ok -synth synth104: ok -synth dispvhdl01: ok -synth synth108: ok -synth synth110: ok -synth enum01: ok -synth synth111: ok +synth issue1591: ok +synth dff03: ok synth asgn01: ok synth assertassumes0: ok -synth synth115: ok synth assumeasserts0: ok -synth synth12: ok -synth exit01: ok +synth dff05: ok synth blackbox01: ok -synth synth128: ok -synth synth129: ok -synth synth14: ok synth block01: ok -synth memmux01: ok -synth forgen01: ok synth bug01: ok -synth synth154: ok -synth synth26: ok -synth synth27: ok synth bug02: ok synth bug04: ok +synth memmux01: ok synth null01: ok -synth synth33: ok +synth dispin01: ok synth case01: ok -synth synth34: ok -synth fsm01: ok -synth synth36: ok +synth snsuns01: ok +synth stmt01: ok synth case02: ok -synth synth38: ok -synth fsm02: ok -synth fsm03: ok +synth string01: ok +synth subprg01: ok synth oper01: ok -synth synth39: ok synth cnt01: ok -synth synth40: ok -synth synth44: ok -synth synth45: ok -synth synth47: ok -synth synth48: ok +synth synth104: ok +synth synth108: ok +synth synth110: ok synth comp01: ok +synth synth111: ok +synth dispout01: ok synth comp02: ok synth comp03: ok -synth oper02: ok +synth synth115: ok +synth synth12: ok synth concat01: ok +synth oper02: ok +synth synth128: ok +synth dispvhdl01: ok synth const01: ok +synth synth129: ok synth conv01: ok +synth enum01: ok +synth synth14: ok +synth synth154: ok +synth synth26: ok +synth synth27: ok synth output01: ok synth physical01: ok -synth func01: ok +synth synth33: ok +synth exit01: ok synth pkg01: ok -synth func02: ok synth pragma01: ok -synth func03: ok -synth gen01: ok +synth forgen01: ok synth psl01: ok +synth synth34: ok +synth synth36: ok synth psl02: ok +synth synth38: ok synth range01: ok synth rec01: ok -synth iassoc01: ok +synth synth39: ok +synth synth40: ok +synth fsm01: ok synth ret01: ok +synth fsm02: ok +synth fsm03: ok +synth simple01: ok +synth slice01: ok +synth func01: ok +synth func02: ok +synth func03: ok +synth gen01: ok +synth iassoc01: ok synth if01: ok synth if02: ok -synth simple01: ok synth if03: ok -synth dff01: ok synth insert01: ok -synth slice01: ok synth int01: ok synth issue1002: ok -synth slice02: ok -synth issue1004: ok -synth issue1005: ok -synth issue1006: ok -synth issue1007: ok -synth dff02: ok -synth sns01: ok synth issue1126: ok +synth issue1127: ok +synth issue1130: ok +synth issue1132: ok +synth issue1133: ok +synth issue1139: ok +synth issue1140: ok +synth issue1144: ok +synth issue1146: ok +synth issue1148: ok +synth issue1153: ok +synth issue1155: ok +synth issue1157: ok +synth issue1158: ok +synth issue1159: ok +synth issue1160: ok +synth issue1161: ok synth tests are successful [GHDL - test] vpi -..Running with 15 test workers. -vpi vpi002: ok +..Running with 16 test workers. +vpi vpi004: ok vpi vpi001: ok vpi vpi003: ok -vpi vpi004: ok vpi vpi005: ok +vpi vpi002: ok vpi tests are successful [GHDL - test] vhpi -..Running with 15 test workers. +..Running with 16 test workers. vhpi 002load_entrypoint: ok -vhpi 001load_lib: ok -vhpi 003load_multi_lib: ok vhpi 005trace_file: ok +vhpi 001load_lib: ok vhpi 004trace_stdout: ok +vhpi 003load_multi_lib: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/stamps/test-llvm @@ -18545,13 +18581,13 @@ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' -/bin/bash ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc +/bin/sh ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools -/bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools +/bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools -/bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include +/bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include/README @@ -18562,7 +18598,7 @@ make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' - /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' + /bin/sh ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' @@ -18570,7 +18606,7 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' -gnatmake -v -j15 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 @@ -18698,7 +18734,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. -gnatmake -v -j15 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ @@ -18830,7 +18866,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. -gnatmake -v -j15 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ +gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ @@ -19018,17 +19054,17 @@ "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-lto-dump-12 @@ -19079,7 +19115,7 @@ gcov-dump /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ fi; \ fi -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed @@ -19099,15 +19135,15 @@ fi; \ done; \ fi -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ @@ -19165,7 +19201,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ @@ -19178,7 +19214,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ @@ -19191,7 +19227,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ @@ -19204,7 +19240,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ @@ -19217,7 +19253,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ @@ -19230,12 +19266,12 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ @@ -19243,105 +19279,105 @@ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ - echo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir || exit 1; \ + echo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir/gcc-12.mo; \ done -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 @@ -19377,14 +19413,14 @@ fi rm -f tmp-header-vars echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h config/i386/cpuid.h mmintrin.h mm3dnow.h xmmintrin.h emmintrin.h pmmintrin.h tmmintrin.h ammintrin.h smmintrin.h nmmintrin.h bmmintrin.h fma4intrin.h wmmintrin.h immintrin.h x86intrin.h avxintrin.h xopintrin.h ia32intrin.h cross-stdarg.h lwpintrin.h popcntintrin.h lzcntintrin.h bmiintrin.h bmi2intrin.h tbmintrin.h avx2intrin.h avx512fintrin.h fmaintrin.h f16cintrin.h rtmintrin.h xtestintrin.h rdseedintrin.h prfchwintrin.h adxintrin.h fxsrintrin.h xsaveintrin.h xsaveoptintrin.h avx512cdintrin.h avx512erintrin.h avx512pfintrin.h shaintrin.h clflushoptintrin.h xsavecintrin.h xsavesintrin.h avx512dqintrin.h avx512bwintrin.h avx512vlintrin.h avx512vlbwintrin.h avx512vldqintrin.h avx512ifmaintrin.h avx512ifmavlintrin.h avx512vbmiintrin.h avx512vbmivlintrin.h avx5124fmapsintrin.h avx5124vnniwintrin.h avx512vpopcntdqintrin.h clwbintrin.h mwaitxintrin.h clzerointrin.h pkuintrin.h sgxintrin.h cetintrin.h gfniintrin.h cet.h avx512vbmi2intrin.h avx512vbmi2vlintrin.h avx512vnniintrin.h avx512vnnivlintrin.h vaesintrin.h vpclmulqdqintrin.h avx512vpopcntdqvlintrin.h avx512bitalgintrin.h pconfigintrin.h wbnoinvdintrin.h movdirintrin.h waitpkgintrin.h cldemoteintrin.h avx512bf16vlintrin.h avx512bf16intrin.h enqcmdintrin.h serializeintrin.h avx512vp2intersectintrin.h avx512vp2intersectvlintrin.h tsxldtrkintrin.h amxtileintrin.h amxint8intrin.h amxbf16intrin.h x86gprintrin.h uintrintrin.h hresetintrin.h keylockerintrin.h avxvnniintrin.h mwaitintrin.h avx512fp16intrin.h avx512fp16vlintrin.h mm_malloc.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/i386/i386-protos.h linux-protos.h tm-preds.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/i386/i386.h i386-protos.h defaults.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h >> tmp-header-vars; echo TM_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/i386/i386-opts.h stringop.def >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def genrtl.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/i386/i386-opts.h stringop.def real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def common/config/i386/i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h biarch64.h i386.h unix.h att.h dbxelf.h elfos.h gnu-user.h glibc-stdint.h x86-64.h gnu-user-common.h gnu-user64.h linux.h linux-android.h linux-common.h linux64.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h i386-opts.h stringop.def x86-tune.def i386-cpuinfo.h i386-isa.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h i386-opts.h stringop.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-dbxout.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-i386.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-calls.h gt-i386-builtins.h gt-i386-expand.h gt-i386-options.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-vhdl.h >> tmp-header-vars; -/bin/bash ../../src/gcc/../move-if-change tmp-header-vars b-header-vars +/bin/sh ../../src/gcc/../move-if-change tmp-header-vars b-header-vars echo timestamp > s-header-vars -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gtype.state -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gengtype -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/common/config/i386/i386-cpuinfo.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/i386/i386-isa.def ../../src/gcc/config/i386/i386-opts.h ../../src/gcc/config/i386/i386-protos.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/i386/stringop.def ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/x86-tune.def ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/i386/att.h config/i386/biarch64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux-common.h config/i386/linux64.h config/i386/unix.h config/i386/x86-64.h config/initfini-array.h config/linux-android.h config/linux-protos.h config/linux.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ @@ -19404,7 +19440,7 @@ dest=/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \ dir=`dirname $dest`; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ansidecl.h @@ -19977,7 +20013,7 @@ make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ - /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ + /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;x86_64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.a; \ @@ -19985,7 +20021,7 @@ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ - /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \ + /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc${thd}; \ done; \ @@ -19995,21 +20031,21 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' -/bin/bash ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' - /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' + /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' - /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' + /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) @@ -20022,12 +20058,12 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a @@ -20043,9 +20079,9 @@ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' @@ -20054,7 +20090,7 @@ make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -20130,12 +20166,12 @@ gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -20143,7 +20179,7 @@ "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -20569,1128 +20605,1128 @@ Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity -..Running with 15 test workers. +..Running with 16 test workers. sanity 005examples: ok sanity 006upf: ok -sanity 001hello87: ok sanity 000hello: ok sanity 002hello2008: ok +sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna -..Running with 15 test workers. -gna issue1623: ok -gna issue623: ok -gna issue333: ok +..Running with 16 test workers. +gna issue1500: ok +gna issue381: ok +gna issue1897: ok +gna ticket52: ok +gna issue151: ok +gna issue772: ok +gna bug034: ok +gna issue26: ok +gna issue1128: ok +gna issue1364: ok +gna issue1370: ok +gna issue521: ok +gna issue922: ok +gna bug01: ok +gna issue1514: ok +gna issue1371: ok +gna bug079: ok +gna ticket53: ok +gna issue643: ok +gna issue261: ok +gna issue382: ok +gna issue1515: ok +gna issue384: ok +gna issue387: ok +gna issue961: ok +gna issue1129: ok +gna issue388: ok +gna ticket54: ok +gna issue1898: ok +gna issue389: ok +gna issue262: ok +gna issue1708: ok +gna issue645: ok +gna issue776: ok +gna ticket55: ok +gna bug010: ok +gna issue777: ok +gna issue263: ok +gna issue264: ok +gna issue779: ok +gna ticket56: ok +gna issue522: ok +gna issue1517: ok +gna bug18810: ok +gna issue524: ok +gna issue98: ok +gna ticket57: ok +gna issue392: ok +gna issue1715: ok +gna ticket58: ok +gna issue152: ok +gna issue190: ok +gna issue1717: ok +gna issue525: ok +gna issue265: ok +gna issue1908: ok +gna issue191: ok +gna bug19195: ok +gna issue780: ok +gna issue394: ok +gna issue1376: ok +gna ticket59: ok +gna issue1523: ok +gna issue395: ok +gna issue1718: ok +gna issue983: ok +gna issue1131: ok +gna issue1377: ok +gna issue268: ok +gna issue786: ok +gna bug0100: ok +gna issue397: ok +gna issue1913: ok +gna bug0101: ok +gna issue40: ok +gna ticket61: ok +gna bug20255: ok +gna issue1379: ok +gna issue273: ok +gna issue529: ok +gna issue1137: ok +gna issue278: ok +gna issue283: ok +gna issue787: ok +gna issue646: ok +gna issue984: ok +gna bug0103: ok +gna issue400: ok +gna issue1721: ok +gna issue1528: ok +gna issue1381: ok +gna bug0104: ok +gna issue1384: ok +gna issue788: ok +gna issue99: ok +gna issue290: ok +gna issue530: ok +gna issue1385: ok +gna ticket62: ok +gna issue1723: ok +gna ticket63: ok +gna issue1724: ok +gna issue648: ok +gna issue406: ok +gna issue1138: ok +gna issue1914: ok +gna issue652: ok +gna bug20312: ok +gna issue791: ok +gna issue1919: ok +gna issue792: ok +gna issue1386: ok +gna lsp27: ok +gna issue794: ok +gna ticket64: ok +gna issue654: ok +gna issue531: ok +gna issue1726: ok +gna issue293: ok +gna issue1727: ok +gna issue535: ok +gna bug20549: ok +gna bug20597: ok +gna issue295: ok +gna issue1145: ok +gna issue1387: ok +gna issue1736: ok +gna issue1751: ok +gna bug0105: ok +gna issue542: ok +gna bug20703: ok +gna bug0106: ok +gna issue1152: ok +gna issue660: ok +gna issue407: ok +gna bug0108: ok +gna issue413: ok +gna issue1389: ok +gna issue1757: ok +gna ticket65: ok +gna issue1924: ok +gna issue795: ok +gna bug20767: ok +gna issue1392: ok +gna issue543: ok +gna issue1191: ok +gna issue1759: ok +gna issue797: ok +gna issue1196: ok +gna bug20771: ok +gna issue803: ok +gna issue1934: ok gna bug21052: ok -gna issue1403: ok -gna issue212: ok -gna issue9: ok +gna issue663: ok gna bug21078: ok -gna ticket49: ok -gna issue729: ok +gna bug035: ok +gna issue1935: ok +gna issue544: ok +gna issue666: ok +gna bug08: ok +gna issue176: ok gna bug21274: ok -gna ticket50: ok -gna issue1624: ok -gna issue1810: ok -gna issue458: ok -gna bug01: ok -gna issue1404: ok -gna issue73: ok -gna issue1226: ok +gna bug036: ok +gna bug080: ok +gna ticket66: ok +gna issue1201: ok +gna bug0109: ok +gna issue14: ok +gna issue140: ok +gna issue546: ok +gna issue1943: ok +gna issue1403: ok +gna issue1953: ok +gna issue1764: ok gna bug21332: ok -gna issue626: ok -gna issue627: ok -gna issue630: ok -gna issue631: ok -gna issue339: ok -gna issue632: ok -gna issue1814: ok -gna issue216: ok -gna issue1405: ok -gna issue731: ok -gna issue223: ok +gna bug081: ok +gna ticket67: ok +gna issue1549: ok +gna issue1979: ok +gna bug082: ok +gna issue155: ok +gna issue1765: ok +gna issue547: ok gna bug21487: ok -gna issue734: ok +gna ticket68: ok +gna issue156: ok +gna issue1768: ok +gna issue1404: ok +gna bug21497: ok +gna issue807: ok +gna issue1206: ok +gna issue158: ok +gna issue552: ok +gna bug011: ok +gna issue553: ok +gna ticket69: ok +gna issue558: ok +gna bug083: ok +gna issue1588: ok +gna issue1405: ok +gna bug0110: ok +gna issue810: ok +gna issue1224: ok gna issue141: ok +gna bug0111: ok gna issue1412: ok -gna issue349: ok -gna issue1228: ok -gna ticket51: ok -gna issue351: ok -gna issue1625: ok -gna bug010: ok -gna issue912: ok -gna issue634: ok -gna issue356: ok -gna issue1818: ok -gna ticket52: ok -gna bug21497: ok -gna issue1229: ok -gna issue735: ok -gna issue1415: ok -gna issue163: ok -gna ticket53: ok -gna issue361: ok -gna issue225: ok -gna issue1631: ok -gna issue367: ok -gna issue123: ok -gna issue913: ok -gna issue1416: ok -gna bug084: ok +gna bug0112: ok +gna issue813: ok +gna bug0114: ok +gna ticket70: ok +gna issue816: ok +gna issue559: ok gna bug21500: ok -gna issue1637: ok -gna ticket54: ok -gna issue1639: ok +gna issue817: ok +gna issue1589: ok gna bug21513: ok -gna issue368: ok -gna issue1640: ok -gna issue1646: ok -gna ticket55: ok -gna issue916: ok +gna issue668: ok +gna issue1415: ok +gna issue560: ok gna bug22868: ok -gna ticket56: ok +gna issue418: ok +gna ticket71: ok +gna bug23013: ok +gna bug0115: ok +gna issue1771: ok +gna issue419: ok +gna issue1226: ok +gna issue1416: ok +gna issue1593: ok +gna issue42: ok +gna ticket73: ok +gna issue67: ok +gna issue1597: ok +gna issue561: ok +gna issue424: ok +gna ticket74: ok +gna issue1228: ok +gna issue563: ok +gna issue160: ok +gna issue672: ok +gna issue1229: ok +gna issue1772: ok +gna issue43: ok +gna ticket75: ok +gna issue1980: ok +gna issue1612: ok +gna issue1623: ok +gna issue578: ok +gna issue1419: ok +gna ticket76: ok +gna bug084: ok +gna issue123: ok +gna issue1624: ok +gna issue199: ok +gna issue439: ok +gna issue676: ok +gna issue679: ok +gna issue1779: ok +gna bug23165: ok gna bug085: ok -gna bug0100: ok +gna issue584: ok +gna issue1420: ok gna issue1233: ok -gna bug23013: ok -gna bug0101: ok -gna issue459: ok -gna issue736: ok -gna ticket57: ok -gna issue1820: ok -gna issue1823: ok -gna issue369: ok -gna ticket58: ok -gna issue227: ok -gna issue1824: ok -gna issue917: ok +gna issue18: ok +gna issue586: ok +gna bug23482: ok +gna issue818: ok gna bug086: ok gna bug087: ok -gna issue1654: ok -gna bug0103: ok -gna issue461: ok -gna bug0104: ok -gna issue370: ok +gna issue683: ok +gna issue44: ok +gna issue1810: ok gna bug088: ok -gna ticket59: ok -gna issue228: ok -gna issue918: ok -gna issue1419: ok -gna issue1831: ok -gna issue465: ok -gna issue1240: ok -gna ticket61: ok -gna issue371: ok -gna issue233: ok -gna issue922: ok -gna issue635: ok -gna issue467: ok -gna issue636: ok -gna issue470: ok -gna issue1246: ok -gna bug09: ok -gna issue1832: ok -gna issue1420: ok -gna bug23165: ok -gna issue471: ok -gna issue235: ok -gna issue372: ok -gna issue472: ok -gna issue373: ok -gna issue374: ok -gna issue637: ok -gna issue1655: ok -gna ticket62: ok -gna issue1249: ok -gna ticket63: ok -gna issue1833: ok +gna issue821: ok gna issue1429: ok -gna bug23482: ok -gna issue961: ok -gna issue473: ok +gna issue598: ok gna issue143: ok -gna issue474: ok -gna issue737: ok -gna bug0105: ok -gna bug0106: ok -gna issue375: ok -gna issue237: ok -gna issue747: ok -gna issue125: ok -gna bug090: ok -gna bug0108: ok -gna bug092: ok -gna issue1834: ok -gna ticket64: ok -gna issue1252: ok -gna issue1657: ok -gna issue1664: ok +gna issue1625: ok +gna issue685: ok +gna issue1240: ok +gna bug0117: ok +gna issue1814: ok +gna bug0118: ok +gna issue163: ok +gna ticket77: ok +gna issue606: ok +gna bug09: ok gna issue1431: ok -gna bug093: ok -gna issue98: ok +gna issue609: ok +gna issue1631: ok gna issue144: ok -gna issue1667: ok -gna issue1256: ok -gna issue476: ok +gna issue440: ok +gna issue441: ok gna bug24064: ok -gna issue167: ok -gna issue756: ok -gna issue1836: ok -gna issue1837: ok -gna issue478: ok -gna issue983: ok -gna issue772: ok -gna ticket65: ok +gna bug012: ok +gna issue1818: ok +gna issue447: ok +gna bug0120: ok +gna bug0122: ok +gna issue1246: ok +gna issue828: ok +gna issue449: ok +gna ticket78: ok +gna issue830: ok gna bug24065: ok +gna issue1637: ok +gna ticket79: ok gna bug24324: ok +gna issue1639: ok gna bug24326: ok -gna issue1843: ok +gna issue838: ok +gna issue1640: ok +gna bug090: ok gna bug7186: ok -gna bug0109: ok -gna issue376: ok -gna issue379: ok -gna bug094: ok -gna issue38: ok -gna issue984: ok -gna issue381: ok -gna issue1844: ok -gna issue1672: ok +gna bug092: ok +gna issue1646: ok +gna issue1249: ok +gna issue610: ok +gna issue613: ok +gna bug0123: ok +gna bug0124: ok +gna ticket81: ok +gna bug093: ok +gna ticket83: ok +gna issue2: ok +gna issue125: ok +gna issue1654: ok +gna issue1252: ok +gna bug037: ok +gna issue615: ok +gna bug0125: ok +gna issue20: ok +gna bug0126: ok +gna issue1820: ok +gna issue1823: ok +gna ticket84: ok +gna bug014: ok gna issue1440: ok -gna ticket66: ok -gna issue1677: ok -gna bug096: ok -gna issue776: ok -gna issue99: ok -gna issue777: ok -gna issue1443: ok -gna issue1257: ok -gna issue779: ok -gna issue382: ok -gna issue1684: ok -gna bug011: ok -gna ticket67: ok -gna issue384: ok gna bug7751: ok -gna issue387: ok -gna issue1857: ok +gna issue1824: ok gna deb573721: ok -gna issue388: ok -gna bug0110: ok -gna issue389: ok -gna bug0111: ok -gna lsp27: ok -gna issue126: ok -gna bug037: ok -gna bug0112: ok -gna ticket68: ok -gna bug0114: ok -gna bug097: ok -gna issue1453: ok -gna bug098: ok -gna bug099: ok -gna issue780: ok -gna issue1687: ok -gna deb585748: ok -gna issue392: ok gna bug039: ok -gna issue238: ok -gna bug0115: ok -gna ticket69: ok -gna issue1688: ok -gna issue786: ok -gna issue1862: ok -gna issue394: ok +gna issue201: ok +gna issue852: ok +gna issue1256: ok +gna issue1443: ok +gna issue616: ok +gna issue45: ok +gna issue687: ok +gna ticket86: ok +gna deb585748: ok +gna issue1831: ok +gna bug094: ok +gna issue857: ok +gna bug04: ok +gna bug015: ok +gna issue860: ok +gna issue864: ok +gna issue869: ok gna deb585750: ok -gna issue395: ok +gna issue1453: ok +gna issue873: ok +gna issue202: ok gna gls7: ok -gna bug04: ok +gna issue689: ok +gna bug096: ok +gna issue450: ok +gna issue204: ok +gna bug016: ok +gna issue618: ok +gna issue1655: ok +gna issue1832: ok +gna ticket87: ok +gna issue874: ok +gna ticket88: ok +gna issue875: ok +gna issue205: ok +gna issue69: ok +gna issue451: ok +gna issue690: ok +gna issue456: ok +gna issue691: ok +gna issue207: ok +gna issue1833: ok +gna issue620: ok +gna bug097: ok +gna issue1257: ok +gna bug098: ok gna issue1455: ok +gna issue621: ok gna issue1456: ok -gna issue787: ok -gna bug14953: ok -gna ticket70: ok -gna issue1689: ok +gna bug099: ok +gna issue209: ok +gna issue623: ok +gna issue877: ok +gna issue212: ok gna issue1461: ok -gna issue1864: ok -gna issue1262: ok -gna issue397: ok +gna issue458: ok +gna issue1657: ok +gna issue1834: ok +gna issue1664: ok +gna issue126: ok +gna issue10: ok +gna ticket9: ok +gna issue1015: ok gna issue1469: ok -gna issue788: ok +gna issue103: ok +gna issue1667: ok +gna bug14953: ok +gna issue167: ok +gna issue626: ok +gna ticket90: ok +gna issue627: ok +gna issue216: ok +gna issue630: ok +gna issue692: ok +gna issue147: ok +gna issue631: ok +gna issue1473: ok +gna issue880: ok +gna issue881: ok +gna issue223: ok +gna issue632: ok gna bug15638: ok -gna ticket71: ok -gna issue1690: ok +gna issue1836: ok gna bug15702: ok -gna issue40: ok +gna issue1837: ok gna bug15933: ok -gna issue1867: ok -gna issue241: ok +gna issue1262: ok +gna issue634: ok +gna issue885: ok +gna issue886: ok +gna bug15966: ok +gna issue694: ok +gna issue1843: ok +gna issue1672: ok gna issue1268: ok +gna issue695: ok +gna issue225: ok +gna ticket92: ok gna issue1269: ok -gna issue791: ok -gna issue147: ok -gna issue1691: ok -gna issue1473: ok -gna ticket73: ok -gna issue400: ok -gna issue792: ok -gna issue1274: ok -gna issue10: ok -gna issue1697: ok -gna issue242: ok -gna issue1015: ok -gna issue794: ok -gna bug15966: ok -gna issue1872: ok -gna issue1875: ok -gna issue243: ok -gna ticket74: ok -gna issue103: ok -gna issue244: ok -gna issue406: ok -gna issue1287: ok -gna issue25: ok -gna ticket75: ok -gna issue1288: ok +gna issue1677: ok gna issue1474: ok -gna issue1876: ok +gna issue1844: ok +gna issue1684: ok gna issue148: ok -gna ticket76: ok -gna issue795: ok -gna issue17: ok -gna bug15993: ok +gna issue1274: ok +gna issue697: ok gna issue1480: ok -gna issue1700: ok -gna bug16095: ok -gna bug16096: ok +gna ticket93: ok +gna issue705: ok gna issue1485: ok -gna bug16144: ok -gna issue1292: ok gna issue1490: ok -gna issue253: ok -gna issue1881: ok -gna issue797: ok -gna issue803: ok -gna issue1493: ok -gna issue407: ok -gna issue1295: ok -gna issue413: ok -gna bug0117: ok -gna issue1883: ok -gna bug0118: ok -gna bug16287: ok +gna issue459: ok +gna issue1687: ok gna issue1038: ok +gna issue227: ok +gna issue707: ok +gna issue1857: ok +gna bug15993: ok +gna issue1493: ok +gna issue461: ok +gna bug16095: ok +gna issue887: ok +gna issue1287: ok +gna bug16096: ok +gna bug16144: ok +gna issue228: ok +gna issue1688: ok +gna issue708: ok +gna issue1288: ok +gna issue465: ok +gna issue71: ok gna issue15: ok -gna issue254: ok -gna issue1894: ok -gna issue1704: ok -gna bug012: ok -gna bug0120: ok -gna issue1897: ok -gna bug0122: ok +gna issue899: ok +gna bug16287: ok +gna issue233: ok +gna issue635: ok +gna issue9: ok +gna issue636: ok +gna issue1689: ok +gna issue467: ok +gna issue1292: ok +gna issue470: ok +gna issue1055: ok +gna issue1862: ok +gna issue710: ok +gna issue235: ok +gna issue1057: ok +gna issue471: ok +gna issue472: ok +gna issue637: ok +gna issue1690: ok +gna issue1864: ok gna bug16695: ok -gna ticket77: ok -gna issue1300: ok -gna issue641: ok -gna issue132: ok -gna ticket78: ok -gna bug16782: ok -gna issue807: ok -gna ticket79: ok gna issue150: ok -gna issue1500: ok -gna bug0123: ok -gna bug0124: ok -gna issue151: ok -gna issue1055: ok +gna issue1295: ok +gna issue1691: ok +gna issue473: ok +gna issue474: ok +gna issue1867: ok +gna issue1697: ok +gna issue237: ok +gna bug16782: ok +gna issue912: ok gna bug17127: ok -gna issue256: ok -gna issue1057: ok -gna issue810: ok -gna ticket81: ok -gna issue1898: ok -gna issue813: ok -gna ticket83: ok -gna issue1514: ok -gna issue1708: ok -gna bug0125: ok -gna issue816: ok -gna bug0126: ok +gna issue713: ok +gna issue1300: ok +gna issue1872: ok +gna issue1875: ok +gna issue106: ok +gna issue132: ok gna bug17202: ok -gna issue817: ok -gna issue642: ok -gna issue1323: ok -gna bug014: ok -gna ticket84: ok -gna issue1715: ok -gna issue190: ok -gna issue1515: ok -gna issue1717: ok +gna issue913: ok +gna issue476: ok +gna ticket94: ok +gna issue478: ok +gna ticket95: ok +gna issue1063: ok +gna issue17: ok +gna issue1066: ok gna bug17203: ok -gna issue257: ok -gna issue1908: ok -gna issue191: ok -gna ticket86: ok -gna issue1718: ok +gna issue1700: ok +gna issue1876: ok +gna issue916: ok +gna ticket96: ok +gna ticket97: ok +gna issue1067: ok +gna issue1323: ok +gna issue715: ok +gna issue107: ok +gna ticket98: ok +gna issue717: ok +gna issue917: ok +gna issue1881: ok gna issue1326: ok -gna issue643: ok -gna bug015: ok -gna issue1517: ok -gna issue1913: ok -gna issue152: ok +gna issue918: ok +gna issue1883: ok +gna issue1704: ok +gna issue718: ok +gna issue719: ok +gna issue1120: ok +gna issue1894: ok gna issue1338: ok -gna issue258: ok -gna bug016: ok -gna issue106: ok -gna issue418: ok -gna issue645: ok -gna issue419: ok -gna issue42: ok -gna issue1721: ok -gna issue1523: ok +gna issue72: ok +gna issue729: ok +gna issue1123: ok +gna issue238: ok gna issue1346: ok -gna ticket87: ok -gna issue259: ok -gna ticket88: ok -gna issue1063: ok -gna issue1066: ok -gna issue1723: ok +gna issue73: ok +gna issue1125: ok gna issue1347: ok -gna issue1724: ok -gna issue26: ok -gna issue424: ok -gna issue1914: ok -gna issue818: ok -gna ticket9: ok -gna issue1528: ok -gna issue1919: ok -gna issue261: ok +gna issue731: ok gna issue1354: ok -gna issue1726: ok -gna issue1067: ok +gna issue734: ok gna issue136: ok -gna issue1727: ok -gna issue43: ok -gna issue107: ok -gna issue646: ok -gna issue821: ok -gna ticket90: ok -gna issue262: ok +gna issue241: ok +gna issue735: ok +gna issue242: ok +gna bug017: ok +gna issue243: ok gna issue1360: ok -gna issue1736: ok -gna issue439: ok -gna issue1751: ok -gna issue263: ok -gna issue264: ok -gna issue1757: ok -gna issue828: ok -gna issue830: ok -gna issue1120: ok -gna issue648: ok -gna issue265: ok -gna issue1924: ok -gna issue44: ok -gna issue838: ok -gna ticket92: ok -gna issue652: ok -gna issue1759: ok -gna issue268: ok -gna issue654: ok -gna issue1123: ok -gna ticket93: ok -gna issue1934: ok -gna issue1935: ok -gna issue440: ok +gna bug018: ok gna bug17309: ok -gna issue273: ok -gna issue441: ok -gna issue278: ok -gna issue283: ok -gna issue176: ok -gna issue447: ok -gna issue660: ok -gna issue1125: ok -gna issue449: ok -gna issue1943: ok -gna issue1361: ok +gna issue244: ok +gna issue25: ok gna bug17545: ok -gna issue1953: ok -gna issue852: ok -gna issue1979: ok -gna issue1764: ok -gna issue290: ok -gna issue1128: ok -gna issue1765: ok -gna issue1362: ok -gna issue857: ok -gna issue860: ok +gna issue30: ok +gna issue736: ok gna bug17759: ok -gna issue864: ok -gna issue869: ok -gna issue663: ok -gna issue1768: ok -gna issue873: ok -gna issue1364: ok -gna issue1370: ok -gna issue666: ok -gna issue1371: ok +gna issue253: ok +gna issue300: ok gna bug18280: ok -gna issue293: ok -gna issue874: ok -gna issue1549: ok -gna issue875: ok -gna issue1129: ok gna bug18351: ok -gna issue45: ok -gna issue295: ok -gna issue155: ok -gna issue877: ok -gna issue156: ok +gna issue254: ok +gna issue1361: ok gna bug18353: ok -gna issue450: ok -gna issue158: ok -gna issue1131: ok -gna issue1588: ok -gna issue1771: ok +gna issue1362: ok gna bug18359: ok -gna issue1376: ok gna bug18360: ok -gna issue880: ok -gna issue881: ok +gna issue737: ok gna bug18361: ok -gna ticket94: ok -gna issue451: ok -gna ticket95: ok -gna issue1377: ok -gna issue456: ok -gna issue1589: ok -gna issue1137: ok +gna issue256: ok +gna issue747: ok +gna issue641: ok gna bug18659: ok -gna issue885: ok -gna ticket96: ok -gna issue1379: ok -gna ticket97: ok -gna issue1980: ok -gna issue886: ok -gna issue1772: ok -gna issue668: ok -gna issue1593: ok -gna ticket98: ok -gna issue199: ok -gna issue1597: ok -gna issue1381: ok -gna issue1384: ok -gna issue1138: ok -gna issue1385: ok -gna issue67: ok -gna issue1779: ok -gna issue160: ok -gna issue50: ok -gna issue516: ok -gna issue520: ok -gna issue887: ok -gna issue1386: ok -gna issue18: ok -gna issue1612: ok -gna issue672: ok -gna issue521: ok -gna bug18810: ok -gna issue899: ok -gna issue1145: ok -gna issue1152: ok -gna issue1387: ok -gna issue676: ok -gna bug19195: ok -gna issue679: ok -gna issue1389: ok -gna issue522: ok -gna issue524: ok -gna issue1191: ok -gna issue1196: ok -gna issue1392: ok -gna issue525: ok -gna bug20255: ok -gna issue683: ok -gna bug017: ok -gna issue1201: ok -gna issue2: ok -gna issue685: ok -gna bug018: ok -gna issue20: ok -gna issue529: ok -gna bug20312: ok -gna issue14: ok -gna issue140: ok -gna issue1206: ok -gna issue201: ok -gna bug20549: ok -gna issue530: ok -gna bug20597: ok -gna issue1224: ok -gna bug20703: ok -gna issue202: ok -gna issue204: ok -gna issue531: ok -gna issue535: ok -gna issue205: ok -gna bug20767: ok -gna issue207: ok -gna issue209: ok -gna issue687: ok -gna issue542: ok -gna bug20771: ok -gna issue689: ok -gna issue543: ok -gna issue69: ok -gna issue690: ok -gna issue691: ok -gna issue544: ok -gna issue546: ok -gna issue692: ok -gna issue547: ok -gna issue694: ok -gna issue552: ok -gna issue553: ok -gna issue558: ok -gna issue695: ok -gna issue559: ok -gna issue697: ok -gna issue560: ok -gna issue705: ok -gna issue707: ok -gna issue561: ok +gna issue257: ok +gna issue642: ok +gna issue756: ok +gna issue258: ok +gna issue259: ok +gna issue301: ok +gna issue309: ok +gna issue310: ok +gna issue312: ok +gna issue314: ok +gna issue316: ok gna bug019: ok -gna issue708: ok -gna issue563: ok -gna issue71: ok -gna issue710: ok -gna issue578: ok -gna issue584: ok gna bug02: ok -gna issue586: ok gna bug021: ok gna bug022: ok -gna issue598: ok -gna issue713: ok -gna issue606: ok -gna issue609: ok gna bug023: ok gna bug024: ok gna bug026: ok gna bug027: ok -gna issue610: ok -gna issue613: ok gna bug028: ok -gna issue615: ok -gna issue715: ok gna bug029: ok -gna issue717: ok -gna issue616: ok gna bug03: ok -gna issue718: ok -gna issue719: ok gna bug030: ok gna bug031: ok -gna issue72: ok gna bug032: ok -gna issue618: ok gna bug033: ok -gna issue620: ok -gna issue621: ok -gna bug034: ok -gna issue30: ok -gna issue300: ok +gna issue50: ok +gna issue516: ok +gna issue520: ok gna bug040: ok gna bug041: ok gna bug042: ok +gna perf02-long: ok +gna sr2553: ok gna bug043: ok +gna sr2655: ok gna bug044: ok gna bug045: ok gna bug046: ok gna bug047: ok +gna sr2676: ok gna bug048: ok -gna bug035: ok +gna sr2737: ok gna bug049: ok -gna bug036: ok +gna sr2903: ok +gna issue317: ok +gna sr2940: ok gna bug05: ok -gna issue301: ok -gna issue309: ok +gna sr3028: ok +gna sr3217: ok +gna ticket101: ok +gna issue320: ok gna bug050: ok -gna issue310: ok +gna issue321: ok +gna ticket104: ok +gna issue326: ok gna bug051: ok -gna issue312: ok -gna issue314: ok -gna issue316: ok +gna ticket109: ok gna bug052: ok +gna ticket11: ok gna bug053: ok +gna ticket14: ok +gna ticket15: ok gna bug054: ok gna bug055: ok +gna issue328: ok gna bug056: ok +gna ticket18: ok gna bug057: ok +gna issue332: ok +gna issue333: ok gna bug058: ok gna bug059: ok +gna ticket19: ok gna bug06: ok +gna issue339: ok +gna ticket20: ok +gna issue349: ok +gna issue351: ok gna bug060: ok +gna issue356: ok +gna ticket24: ok +gna issue361: ok +gna issue367: ok +gna ticket26: ok +gna issue368: ok gna bug061: ok +gna issue369: ok +gna ticket29: ok +gna ticket30: ok gna bug062: ok gna bug063: ok gna bug064: ok +gna issue370: ok gna bug065: ok gna bug066: ok gna bug067: ok -gna perf02-long: ok -gna sr2553: ok +gna issue371: ok +gna ticket31: ok gna bug069: ok -gna sr2655: ok +gna issue372: ok +gna issue373: ok +gna issue374: ok gna bug07: ok gna bug071: ok +gna issue375: ok gna bug072: ok -gna sr2676: ok +gna ticket32: ok gna bug073: ok +gna ticket35: ok gna bug074: ok gna bug075: ok -gna sr2737: ok -gna sr2903: ok -gna sr2940: ok -gna sr3028: ok -gna sr3217: ok -gna ticket101: ok -gna ticket104: ok -gna ticket109: ok -gna bug077: ok -gna bug078: ok -gna ticket11: ok -gna ticket14: ok -gna ticket15: ok -gna bug079: ok -gna ticket18: ok -gna ticket19: ok -gna ticket20: ok -gna ticket24: ok -gna ticket26: ok -gna ticket29: ok -gna ticket30: ok -gna bug08: ok -gna bug080: ok -gna ticket31: ok -gna bug081: ok -gna bug082: ok -gna bug083: ok -gna ticket32: ok -gna ticket35: ok +gna issue376: ok +gna issue379: ok +gna issue38: ok gna ticket37: ok -gna issue317: ok gna ticket38: ok -gna issue320: ok +gna bug077: ok gna ticket39: ok gna ticket40: ok -gna issue321: ok gna ticket41: ok -gna issue326: ok +gna bug078: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok -gna issue328: ok gna ticket48: ok -gna issue332: ok +gna ticket49: ok +gna ticket50: ok +gna ticket51: ok gna tests are successful [GHDL - test] vests Vests is OK 29235 vests.log [GHDL - test] synth -..Running with 15 test workers. -synth issue1230: ok -synth issue1231: ok -synth synth50: ok -synth issue1366: ok -synth issue1234: ok -synth issue1977: ok -synth synth52: ok -synth issue1236: ok -synth issue965: ok -synth issue1237: ok -synth issue1978: ok -synth issue1283: ok -synth issue1536: ok -synth issue1838: ok -synth issue1859: ok -synth issue972: ok -synth issue1860: ok -synth issue1537: ok -synth issue1175: ok -synth issue1127: ok -synth issue1008: ok -synth issue1130: ok -synth issue1540: ok -synth issue1176: ok -synth issue1009: ok -synth issue1132: ok -synth issue1288: ok -synth issue1011: ok -synth issue1177: ok -synth issue1133: ok -synth issue1178: ok -synth synth56: ok -synth issue412: ok -synth issue1179: ok -synth issue973: ok -synth synth58: ok -synth synth60: ok -synth issue1180: ok -synth issue1181: ok -synth issue1182: ok -synth issue1292: ok -synth issue1186: ok -synth issue1014: ok -synth issue1367: ok -synth issue1294: ok -synth issue1372: ok -synth issue1018: ok -synth issue1190: ok -synth issue1139: ok -synth issue1387: ok -synth issue1193: ok -synth lib01: ok -synth issue1238: ok -synth synth76: ok -synth issue1239: ok -synth issue1197: ok -synth issue1390: ok -synth aggr01: ok -synth issue1198: ok -synth issue1199: ok +..Running with 16 test workers. +synth issue1251: ok synth issue1207: ok +synth issue1313: ok +synth issue1107: ok +synth issue1889: ok +synth issue1596: ok +synth synth44: ok synth issue1208: ok -synth issue1406: ok -synth issue1295: ok +synth issue1050: ok +synth issue1162: ok +synth issue1253: ok +synth issue965: ok +synth issue1314: ok +synth issue1316: ok synth issue1209: ok +synth issue1609: ok +synth issue1317: ok synth issue1210: ok -synth issue1563: ok -synth issue1298: ok -synth issue1572: ok -synth issue1407: ok -synth issue1302: ok -synth lit01: ok -synth issue1307: ok -synth issue1240: ok -synth issue1408: ok -synth issue1021: ok -synth issue1310: ok +synth issue972: ok +synth issue1254: ok +synth issue1628: ok synth issue1413: ok -synth issue1140: ok -synth issue1023: ok -synth issue1886: ok +synth issue1318: ok synth issue1414: ok -synth issue1889: ok -synth issue1144: ok -synth issue1146: ok -synth issue1148: ok -synth issue1591: ok -synth synth8: ok -synth issue1596: ok -synth issue1153: ok -synth synth80: ok +synth synth45: ok +synth issue1899: ok +synth issue1903: ok synth issue1417: ok +synth issue412: ok synth issue1421: ok -synth issue1609: ok -synth aggr02: ok -synth issue1311: ok -synth issue1313: ok -synth issue1628: ok -synth issue1899: ok +synth issue1109: ok synth issue1424: ok -synth issue1241: ok -synth issue1903: ok +synth issue1054: ok synth issue1426: ok -synth issue1242: ok -synth issue1314: ok -synth issue1316: ok -synth issue1317: ok -synth issue1243: ok -synth issue1025: ok -synth issue1032: ok -synth issue1318: ok -synth issue1033: ok -synth issue1211: ok -synth issue1428: ok -synth issue1430: ok -synth issue1212: ok -synth synth87: ok -synth match01: ok -synth synth93: ok -synth aggr03: ok +synth synth47: ok +synth issue1113: ok +synth issue1163: ok +synth issue973: ok +synth issue1114: ok synth issue1645: ok synth issue1650: ok -synth issue1442: ok -synth issue1155: ok +synth issue1004: ok +synth issue1164: ok +synth issue1258: ok +synth synth48: ok +synth synth50: ok +synth issue1428: ok +synth issue1165: ok +synth issue1430: ok +synth synth52: ok +synth issue1116: ok +synth issue1005: ok +synth issue1319: ok +synth issue1006: ok synth issue1909: ok -synth issue1250: ok -synth issue1251: ok -synth issue1454: ok synth issue1911: ok +synth issue1058: ok +synth issue1007: ok synth issue1912: ok -synth issue1253: ok synth issue1920: ok -synth issue1034: ok +synth issue1442: ok synth issue1926: ok -synth issue1254: ok synth issue1936: ok -synth issue1217: ok synth issue1938: ok +synth issue1211: ok synth issue1942: ok -synth issue1035: ok synth issue1944: ok -synth issue1036: ok -synth issue1319: ok -synth issue1218: ok -synth issue1039: ok -synth issue1041: ok -synth dff03: ok -synth issue1042: ok -synth anon01: ok -synth issue1043: ok +synth issue1212: ok +synth slice02: ok +synth issue1454: ok synth issue1321: ok -synth issue1044: ok +synth lib01: ok +synth issue1264: ok +synth issue1062: ok +synth issue1265: ok +synth issue1117: ok +synth synth56: ok +synth issue1166: ok +synth aggr01: ok synth issue1654: ok synth issue1658: ok -synth issue1157: ok -synth issue1665: ok -synth issue1069: ok -synth issue1074: ok -synth issue1158: ok -synth issue1075: ok -synth issue1258: ok synth issue1322: ok -synth issue1219: ok -synth issue1159: ok +synth issue1008: ok +synth issue1167: ok +synth issue1665: ok +synth synth58: ok +synth synth60: ok +synth issue1009: ok synth issue1324: ok -synth issue1160: ok -synth issue1046: ok -synth issue662: ok -synth issue1047: ok -synth issue1050: ok -synth issue872: ok -synth issue1264: ok +synth issue1168: ok +synth issue1011: ok +synth issue1217: ok +synth issue1169: ok +synth lit01: ok +synth issue1170: ok +synth issue1218: ok +synth issue1172: ok +synth issue1266: ok +synth synth76: ok +synth issue1267: ok +synth issue1271: ok +synth issue1014: ok +synth issue1272: ok +synth issue1175: ok +synth issue1018: ok +synth issue1176: ok +synth issue1325: ok +synth issue1177: ok +synth issue1064: ok +synth issue1068: ok +synth aggr02: ok synth issue1945: ok -synth issue882: ok -synth issue936: ok +synth issue1178: ok +synth issue1219: ok synth issue1947: ok synth issue1948: ok -synth dff05: ok synth issue1949: ok -synth issue1265: ok -synth uassoc01: ok +synth issue1179: ok +synth issue1180: ok +synth issue1181: ok +synth issue1182: ok +synth issue1186: ok +synth match01: ok +synth issue1190: ok +synth synth8: ok +synth aggr03: ok +synth synth80: ok +synth issue1193: ok synth issue1220: ok -synth issue1325: ok -synth unary01: ok +synth issue1197: ok synth issue1221: ok -synth issue1054: ok -synth issue1076: ok -synth issue1266: ok -synth issue1161: ok -synth issue1077: ok -synth issue1078: ok -synth issue1079: ok -synth issue1162: ok -synth issue1267: ok -synth issue1271: ok -synth issue1272: ok +synth issue1021: ok +synth issue1023: ok +synth issue1198: ok +synth issue1199: ok +synth issue1122: ok +synth synth87: ok +synth synth93: ok +synth anon01: ok synth issue1225: ok -synth issue1058: ok -synth issue937: ok -synth issue1062: ok -synth issue1163: ok -synth issue1164: ok -synth issue1165: ok -synth issue938: ok -synth issue940: ok -synth issue941: ok -synth issue944: ok -synth issue945: ok +synth issue1230: ok +synth issue1231: ok +synth issue1234: ok +synth issue1236: ok +synth issue1237: ok synth issue1330: ok -synth issue946: ok -synth issue947: ok -synth issue948: ok -synth issue1080: ok +synth issue1025: ok +synth issue1032: ok +synth issue1273: ok +synth issue1033: ok synth issue1332: ok +synth issue1277: ok synth issue1333: ok -synth issue1081: ok +synth issue1280: ok synth issue1342: ok -synth issue1166: ok -synth issue1167: ok -synth issue1064: ok -synth issue1068: ok -synth issue1675: ok -synth issue951: ok -synth issue1679: ok +synth issue1282: ok +synth issue662: ok +synth issue1283: ok +synth issue1345: ok +synth issue872: ok +synth issue1034: ok +synth issue882: ok +synth issue936: ok synth issue1951: ok -synth issue1680: ok synth issue1961: ok -synth issue953: ok -synth issue1681: ok -synth issue1685: ok -synth issue954: ok -synth issue1168: ok +synth issue1035: ok synth issue1962: ok -synth issue1698: ok +synth issue1675: ok +synth issue1036: ok synth issue1968: ok +synth issue1679: ok synth issue1971: ok +synth issue1680: ok synth issue1972: ok -synth issue1273: ok -synth issue1169: ok -synth issue1345: ok -synth issue1170: ok +synth issue1288: ok +synth issue1681: ok +synth issue1685: ok +synth issue1977: ok +synth issue1039: ok +synth issue1698: ok +synth issue1238: ok +synth issue1978: ok +synth issue1041: ok +synth issue1239: ok synth issue1703: ok -synth issue1277: ok -synth issue1172: ok -synth issue1280: ok -synth issue1082: ok -synth issue1282: ok -synth issue955: ok -synth issue956: ok -synth issue1083: ok -synth issue958: ok -synth issue1086: ok +synth issue1042: ok +synth issue1043: ok +synth issue1044: ok +synth issue1292: ok +synth issue1294: ok +synth uassoc01: ok +synth issue1348: ok +synth issue1240: ok +synth issue1366: ok +synth unary01: ok +synth issue937: ok +synth issue1046: ok synth issue1731: ok synth issue1734: ok +synth issue1047: ok synth issue1780: ok -synth issue1348: ok -synth arr01: ok -synth issue960: ok -synth issue962: ok -synth dispin01: ok -synth mem01: ok -synth issue1090: ok -synth issue1095: ok +synth issue1295: ok +synth issue1298: ok +synth issue1302: ok +synth issue1307: ok +synth issue1241: ok +synth issue938: ok +synth issue1310: ok +synth issue1242: ok +synth issue940: ok +synth issue1243: ok +synth issue941: ok +synth issue1367: ok +synth issue944: ok +synth issue945: ok +synth issue1372: ok +synth issue946: ok +synth issue947: ok +synth issue1387: ok +synth issue948: ok +synth issue1069: ok +synth issue1074: ok synth issue1781: ok +synth issue1075: ok synth issue1832: ok -synth issue1096: ok -synth issue1099: ok +synth issue1311: ok +synth issue1838: ok +synth issue1859: ok +synth issue1250: ok +synth issue1860: ok +synth issue1390: ok +synth issue951: ok +synth issue1406: ok +synth issue953: ok +synth issue954: ok +synth issue1407: ok +synth issue1408: ok +synth issue955: ok +synth issue956: ok +synth issue1076: ok +synth dff01: ok +synth issue1077: ok +synth issue958: ok +synth issue1078: ok +synth issue1079: ok +synth arr01: ok synth issue1460: ok synth issue1475: ok -synth issue963: ok +synth mem01: ok synth issue1481: ok +synth issue960: ok synth issue1503: ok +synth issue962: ok synth issue1509: ok -synth issue964: ok +synth issue1886: ok +synth issue1080: ok +synth issue1081: ok +synth issue963: ok +synth issue1082: ok +synth issue1520: ok +synth sns01: ok synth arr02: ok -synth issue1100: ok -synth var01: ok +synth issue1083: ok +synth issue964: ok +synth issue1086: ok synth arr03: ok -synth issue1101: ok -synth issue1107: ok -synth issue1520: ok -synth issue1109: ok -synth issue1113: ok -synth issue1114: ok synth mem02: ok -synth issue1116: ok -synth snsuns01: ok -synth issue1117: ok synth issue1531: ok +synth issue1090: ok +synth issue1095: ok synth issue1534: ok -synth stmt01: ok -synth string01: ok -synth issue1122: ok -synth dispout01: ok -synth subprg01: ok +synth var01: ok +synth issue1536: ok +synth issue1537: ok +synth issue1096: ok +synth issue1099: ok +synth issue1540: ok +synth dff02: ok +synth issue1100: ok +synth issue1563: ok +synth issue1572: ok +synth issue1101: ok +synth issue1591: ok synth mem2d01: ok -synth synth104: ok -synth dispvhdl01: ok -synth synth108: ok -synth synth110: ok +synth dff03: ok synth asgn01: ok synth assertassumes0: ok synth assumeasserts0: ok -synth enum01: ok -synth synth111: ok -synth synth115: ok +synth dff05: ok synth blackbox01: ok -synth exit01: ok -synth synth12: ok synth block01: ok -synth synth128: ok +synth memmux01: ok synth bug01: ok synth bug02: ok synth bug04: ok -synth synth129: ok -synth memmux01: ok -synth synth14: ok -synth forgen01: ok -synth synth154: ok synth null01: ok -synth synth26: ok -synth synth27: ok -synth synth33: ok +synth dispin01: ok synth case01: ok synth case02: ok -synth synth34: ok -synth fsm01: ok -synth synth36: ok -synth synth38: ok -synth fsm02: ok -synth fsm03: ok -synth cnt01: ok +synth snsuns01: ok +synth stmt01: ok +synth string01: ok synth oper01: ok -synth synth39: ok +synth cnt01: ok +synth subprg01: ok synth comp01: ok -synth synth40: ok -synth synth44: ok synth comp02: ok +synth synth104: ok synth comp03: ok -synth synth45: ok -synth synth47: ok -synth synth48: ok +synth synth108: ok +synth synth110: ok +synth dispout01: ok synth concat01: ok +synth synth111: ok synth oper02: ok synth const01: ok +synth synth115: ok +synth dispvhdl01: ok synth conv01: ok +synth synth12: ok +synth enum01: ok synth output01: ok synth physical01: ok +synth synth128: ok +synth synth129: ok synth pkg01: ok -synth func01: ok +synth synth14: ok +synth exit01: ok +synth synth154: ok synth pragma01: ok -synth func02: ok -synth func03: ok -synth gen01: ok +synth synth26: ok +synth synth27: ok +synth synth33: ok synth psl01: ok +synth forgen01: ok synth psl02: ok synth range01: ok +synth synth34: ok synth rec01: ok -synth iassoc01: ok +synth synth36: ok +synth synth38: ok +synth fsm01: ok +synth synth39: ok synth ret01: ok -synth dff01: ok +synth simple01: ok +synth fsm02: ok +synth fsm03: ok +synth synth40: ok +synth slice01: ok +synth func01: ok +synth func02: ok +synth func03: ok +synth gen01: ok +synth iassoc01: ok synth if01: ok synth if02: ok -synth simple01: ok synth if03: ok synth insert01: ok -synth slice01: ok synth int01: ok synth issue1002: ok -synth slice02: ok -synth issue1004: ok -synth issue1005: ok -synth issue1006: ok -synth issue1007: ok -synth dff02: ok -synth sns01: ok synth issue1126: ok +synth issue1127: ok +synth issue1130: ok +synth issue1132: ok +synth issue1133: ok +synth issue1139: ok +synth issue1140: ok +synth issue1144: ok +synth issue1146: ok +synth issue1148: ok +synth issue1153: ok +synth issue1155: ok +synth issue1157: ok +synth issue1158: ok +synth issue1159: ok +synth issue1160: ok +synth issue1161: ok synth tests are successful [GHDL - test] vpi -..Running with 15 test workers. -vpi vpi001: ok -vpi vpi002: ok +..Running with 16 test workers. vpi vpi004: ok +vpi vpi001: ok vpi vpi003: ok +vpi vpi002: ok vpi vpi005: ok vpi tests are successful [GHDL - test] vhpi -..Running with 15 test workers. -vhpi 002load_entrypoint: ok +..Running with 16 test workers. vhpi 001load_lib: ok +vhpi 002load_entrypoint: ok vhpi 004trace_stdout: ok vhpi 005trace_file: ok vhpi 003load_multi_lib: ok @@ -21732,7 +21768,7 @@ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi -gnatmake -v -j15 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic +gnatmake -v -j16 -R -eS -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -22111,9 +22147,9 @@ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-12" \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong" \ - GNATMAKE="gnatmake -v -j15 -R -eS " all + GNATMAKE="gnatmake -v -j16 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' -gnatmake -v -j15 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ +gnatmake -v -j16 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o --LINK=g++-12 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now @@ -22244,7 +22280,7 @@ End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm' -gnatmake -v -j15 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ +gnatmake -v -j16 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o @@ -22431,7 +22467,7 @@ gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -22507,12 +22543,12 @@ gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -22520,7 +22556,7 @@ "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -22682,13 +22718,13 @@ /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' -/bin/bash ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc +/bin/sh ../src/mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools -/bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools +/bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools -/bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include +/bin/sh ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include/README @@ -22699,7 +22735,7 @@ make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' - /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' + /bin/sh ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12' @@ -22707,7 +22743,7 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc' -gnatmake -v -j15 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 12.2.0 @@ -22835,7 +22871,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: objects up to date. -gnatmake -v -j15 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ +gnatmake -v -j16 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=x86_64-linux-gnu-g++-12 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o \ @@ -22967,7 +23003,7 @@ "/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. -gnatmake -v -j15 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ +gnatmake -v -j16 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ @@ -23155,17 +23191,17 @@ "synth-ieee-std_logic_1164.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/include -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-lto-dump-12 @@ -23216,7 +23252,7 @@ gcov-dump /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcov-dump-12; \ fi; \ fi -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include rm -rf /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed mkdir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include-fixed @@ -23236,15 +23272,15 @@ fi; \ done; \ fi -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include-fixed${multi_dir}/limits.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ @@ -23302,7 +23338,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ @@ -23315,7 +23351,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ @@ -23328,7 +23364,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ @@ -23341,7 +23377,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ @@ -23354,7 +23390,7 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ @@ -23367,12 +23403,12 @@ chmod a-x /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi -if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ +if /bin/sh -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ @@ -23380,105 +23416,105 @@ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ - echo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir || exit 1; \ + echo /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/gcc-12.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir/gcc-12.mo; \ done -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/be.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/da.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/de.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/el.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/es.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/fr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/hr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/id.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ja.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/nl.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/ru.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/sv.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/tr.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/uk.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/vi.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_CN.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-12.mo -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 ../../src/gcc/po/zh_TW.gmo /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-12.mo rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/x86_64-linux-gnu-gcc-12 @@ -23512,12 +23548,12 @@ fi ; \ done; \ fi -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gtype.state -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/gengtype -/bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include +/bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include mkdir -p -- /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/common/config/i386/i386-cpuinfo.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/i386/i386-isa.def ../../src/gcc/config/i386/i386-opts.h ../../src/gcc/config/i386/i386-protos.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/i386/stringop.def ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/x86-tune.def ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/i386/att.h config/i386/biarch64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux-common.h config/i386/linux64.h config/i386/unix.h config/i386/x86-64.h config/initfini-array.h config/linux-android.h config/linux-protos.h config/linux.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ @@ -23537,7 +23573,7 @@ dest=/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dest; \ dir=`dirname $dest`; \ - /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ + /bin/sh ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/include/ansidecl.h @@ -24110,7 +24146,7 @@ make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ - /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ + /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;x86_64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`x86_64-linux-gnu-gcc-12 -g -O2 -print-multi-os-directory`/./libiberty.a; \ @@ -24118,7 +24154,7 @@ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ - /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp${thd}; \ + /bin/sh ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp${thd}; \ done; \ @@ -24128,21 +24164,21 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' -/bin/bash ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' - /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' + /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /bin/mkdir -p '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' - /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' + /bin/sh ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) @@ -24155,12 +24191,12 @@ make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc_eh.a -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/ chmod 644 /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a x86_64-linux-gnu-ranlib /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/libgcc.a @@ -24176,9 +24212,9 @@ x86_64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include -/bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include +/bin/sh ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/x86_64-linux-gnu/12/include make[5]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' make[5]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build/x86_64-linux-gnu/libgcc' @@ -24187,7 +24223,7 @@ make[2]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-2.0.0+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc' -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -c -aI/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-2.0.0+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 12.2.0 @@ -24263,12 +24299,12 @@ gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali -cd grt && gnatmake -v -j15 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n +cd grt && gnatmake -v -j16 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. x86_64-linux-gnu-gnatbind-12 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -24276,7 +24312,7 @@ "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. -cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j15 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt +cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j16 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-2.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 12.2.0 Copyright (C) 1992-2022, Free Software Foundation, Inc. @@ -24476,19 +24512,19 @@ make[1]: Leaving directory '/build/reproducible-path/ghdl-2.0.0+dfsg' dh_md5sums dh_builddeb -dpkg-deb: building package 'libghdl-2-0-0-dbgsym' in '../libghdl-2-0-0-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-mcode' in '../ghdl-mcode_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-gcc-dbgsym' in '../ghdl-gcc-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-mcode-dbgsym' in '../ghdl-mcode-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-tools-dbgsym' in '../ghdl-tools-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-common' in '../ghdl-common_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'libghdl-2-0-0' in '../libghdl-2-0-0_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl' in '../ghdl_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-gcc-dbgsym' in '../ghdl-gcc-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-mcode' in '../ghdl-mcode_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'libghdl-2-0-0' in '../libghdl-2-0-0_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-common' in '../ghdl-common_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-llvm-dbgsym' in '../ghdl-llvm-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-tools-dbgsym' in '../ghdl-tools-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_2.0.0+dfsg-6.2_amd64.deb'. dpkg-deb: building package 'libghdl-dev' in '../libghdl-dev_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-llvm-dbgsym' in '../ghdl-llvm-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. -dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'libghdl-2-0-0-dbgsym' in '../libghdl-2-0-0-dbgsym_2.0.0+dfsg-6.2_amd64.deb'. +dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_2.0.0+dfsg-6.2_amd64.deb'. dpkg-genbuildinfo --build=binary -O../ghdl_2.0.0+dfsg-6.2_amd64.buildinfo dpkg-genchanges --build=binary -O../ghdl_2.0.0+dfsg-6.2_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) @@ -24496,12 +24532,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/2113136/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/928925 and its subdirectories -I: Current time: Sat Jan 13 19:32:09 -12 2024 -I: pbuilder-time-stamp: 1705217529 +I: removing directory /srv/workspace/pbuilder/2113136 and its subdirectories +I: Current time: Sun Feb 16 05:45:38 +14 2025 +I: pbuilder-time-stamp: 1739634338